import{n as Kt,g as qu,f as Ya,m as jn,b as Cl,a as _i,h as Yu,j as zu,r as $u,V as Hu,i as Vu}from"./app.6910ca56.js";import{l as za}from"./longpress.43ca7fd9.js";import{D as Wu}from"./index.8984a77c.js";import{U as Rl}from"./UserSelect.1938cd94.js";import{I as Ku}from"./ImgUpload.8863e78d.js";import Qu from"./details.97854344.js";import{U as ju}from"./tip.6872e3c6.js";var Xu=function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("div",{staticClass:"common-circle",style:e.style,attrs:{"data-id":e.percent}},[n("svg",{attrs:{viewBox:"0 0 28 28"}},[n("g",{attrs:{fill:"none","fill-rule":"evenodd"}},[n("path",{staticClass:"common-circle-path",attrs:{d:"M-500-100h997V48h-997z"}}),n("g",{attrs:{"fill-rule":"nonzero"}},[n("path",{staticClass:"common-circle-g-path-ring",attrs:{"stroke-width":"3",d:"M14 25.5c6.351 0 11.5-5.149 11.5-11.5S20.351 2.5 14 2.5 2.5 7.649 2.5 14 7.649 25.5 14 25.5z"}}),n("path",{staticClass:"common-circle-g-path-core",attrs:{d:e.arc(e.args)}})])])])])},Zu=[];const Ju={name:"WCircle",props:{percent:{type:Number,default:0},size:{type:Number,default:120}},computed:{style(){let{size:e}=this;return this.isNumeric(e)&&(e+="px"),{width:e,height:e}},args(){const{percent:e}=this;let t=Math.min(360,360/100*e);return t==360?t=0:t==0&&(t=360),{x:14,y:14,r:14,start:360,end:t}}},methods:{isNumeric(e){return e!==""&&!isNaN(parseFloat(e))&&isFinite(e)},point(e,t,n,r){return[(e+Math.sin(r)*n).toFixed(2),(t-Math.cos(r)*n).toFixed(2)]},full(e,t,n,r){return r<=0?`M ${e-n} ${t} A ${n} ${n} 0 1 1 ${e+n} ${t} A ${n} ${n} 1 1 1 ${e-n} ${t} Z`:`M ${e-n} ${t} A ${n} ${n} 0 1 1 ${e+n} ${t} A ${n} ${n} 1 1 1 ${e-n} ${t} M ${e-r} ${t} A ${r} ${r} 0 1 1 ${e+r} ${t} A ${r} ${r} 1 1 1 ${e-r} ${t} Z`},part(e,t,n,r,a,l){const[u,c]=[a/360*2*Math.PI,l/360*2*Math.PI],d=[this.point(e,t,r,u),this.point(e,t,n,u),this.point(e,t,n,c),this.point(e,t,r,c)],g=c-u>Math.PI?"1":"0";return`M ${d[0][0]} ${d[0][1]} L ${d[1][0]} ${d[1][1]} A ${n} ${n} 0 ${g} 1 ${d[2][0]} ${d[2][1]} L ${d[3][0]} ${d[3][1]} A ${r} ${r} 0 ${g} 0 ${d[0][0]} ${d[0][1]} Z`},arc(e){const{x:t=0,y:n=0}=e;let{R:r=0,r:a=0,start:l,end:u}=e;return[r,a]=[Math.max(r,a),Math.min(r,a)],r<=0?"":l!==+l||u!==+u?this.full(t,n,r,a):Math.abs(l-u)<1e-6?"":Math.abs(l-u)%360<1e-6?this.full(t,n,r,a):([l,u]=[l%360,u%360],l>u&&(u+=360),this.part(t,n,r,a,l,u))}}},Ss={};var ed=Kt(Ju,Xu,Zu,!1,td,null,null,null);function td(e){for(let t in Ss)this[t]=Ss[t]}var nd=function(){return ed.exports}();var Ze={};const rd="\xC1",id="\xE1",ad="\u0102",od="\u0103",sd="\u223E",ld="\u223F",cd="\u223E\u0333",ud="\xC2",dd="\xE2",_d="\xB4",pd="\u0410",md="\u0430",fd="\xC6",gd="\xE6",hd="\u2061",Ed="\u{1D504}",Sd="\u{1D51E}",bd="\xC0",vd="\xE0",Td="\u2135",yd="\u2135",Cd="\u0391",Rd="\u03B1",Od="\u0100",Nd="\u0101",Ad="\u2A3F",Id="&",Dd="&",xd="\u2A55",wd="\u2A53",Md="\u2227",Ld="\u2A5C",kd="\u2A58",Pd="\u2A5A",Bd="\u2220",Fd="\u29A4",Ud="\u2220",Gd="\u29A8",qd="\u29A9",Yd="\u29AA",zd="\u29AB",$d="\u29AC",Hd="\u29AD",Vd="\u29AE",Wd="\u29AF",Kd="\u2221",Qd="\u221F",jd="\u22BE",Xd="\u299D",Zd="\u2222",Jd="\xC5",e_="\u237C",t_="\u0104",n_="\u0105",r_="\u{1D538}",i_="\u{1D552}",a_="\u2A6F",o_="\u2248",s_="\u2A70",l_="\u224A",c_="\u224B",u_="'",d_="\u2061",__="\u2248",p_="\u224A",m_="\xC5",f_="\xE5",g_="\u{1D49C}",h_="\u{1D4B6}",E_="\u2254",S_="*",b_="\u2248",v_="\u224D",T_="\xC3",y_="\xE3",C_="\xC4",R_="\xE4",O_="\u2233",N_="\u2A11",A_="\u224C",I_="\u03F6",D_="\u2035",x_="\u223D",w_="\u22CD",M_="\u2216",L_="\u2AE7",k_="\u22BD",P_="\u2305",B_="\u2306",F_="\u2305",U_="\u23B5",G_="\u23B6",q_="\u224C",Y_="\u0411",z_="\u0431",$_="\u201E",H_="\u2235",V_="\u2235",W_="\u2235",K_="\u29B0",Q_="\u03F6",j_="\u212C",X_="\u212C",Z_="\u0392",J_="\u03B2",ep="\u2136",tp="\u226C",np="\u{1D505}",rp="\u{1D51F}",ip="\u22C2",ap="\u25EF",op="\u22C3",sp="\u2A00",lp="\u2A01",cp="\u2A02",up="\u2A06",dp="\u2605",_p="\u25BD",pp="\u25B3",mp="\u2A04",fp="\u22C1",gp="\u22C0",hp="\u290D",Ep="\u29EB",Sp="\u25AA",bp="\u25B4",vp="\u25BE",Tp="\u25C2",yp="\u25B8",Cp="\u2423",Rp="\u2592",Op="\u2591",Np="\u2593",Ap="\u2588",Ip="=\u20E5",Dp="\u2261\u20E5",xp="\u2AED",wp="\u2310",Mp="\u{1D539}",Lp="\u{1D553}",kp="\u22A5",Pp="\u22A5",Bp="\u22C8",Fp="\u29C9",Up="\u2510",Gp="\u2555",qp="\u2556",Yp="\u2557",zp="\u250C",$p="\u2552",Hp="\u2553",Vp="\u2554",Wp="\u2500",Kp="\u2550",Qp="\u252C",jp="\u2564",Xp="\u2565",Zp="\u2566",Jp="\u2534",em="\u2567",tm="\u2568",nm="\u2569",rm="\u229F",im="\u229E",am="\u22A0",om="\u2518",sm="\u255B",lm="\u255C",cm="\u255D",um="\u2514",dm="\u2558",_m="\u2559",pm="\u255A",mm="\u2502",fm="\u2551",gm="\u253C",hm="\u256A",Em="\u256B",Sm="\u256C",bm="\u2524",vm="\u2561",Tm="\u2562",ym="\u2563",Cm="\u251C",Rm="\u255E",Om="\u255F",Nm="\u2560",Am="\u2035",Im="\u02D8",Dm="\u02D8",xm="\xA6",wm="\u{1D4B7}",Mm="\u212C",Lm="\u204F",km="\u223D",Pm="\u22CD",Bm="\u29C5",Fm="\\",Um="\u27C8",Gm="\u2022",qm="\u2022",Ym="\u224E",zm="\u2AAE",$m="\u224F",Hm="\u224E",Vm="\u224F",Wm="\u0106",Km="\u0107",Qm="\u2A44",jm="\u2A49",Xm="\u2A4B",Zm="\u2229",Jm="\u22D2",ef="\u2A47",tf="\u2A40",nf="\u2145",rf="\u2229\uFE00",af="\u2041",of="\u02C7",sf="\u212D",lf="\u2A4D",cf="\u010C",uf="\u010D",df="\xC7",_f="\xE7",pf="\u0108",mf="\u0109",ff="\u2230",gf="\u2A4C",hf="\u2A50",Ef="\u010A",Sf="\u010B",bf="\xB8",vf="\xB8",Tf="\u29B2",yf="\xA2",Cf="\xB7",Rf="\xB7",Of="\u{1D520}",Nf="\u212D",Af="\u0427",If="\u0447",Df="\u2713",xf="\u2713",wf="\u03A7",Mf="\u03C7",Lf="\u02C6",kf="\u2257",Pf="\u21BA",Bf="\u21BB",Ff="\u229B",Uf="\u229A",Gf="\u229D",qf="\u2299",Yf="\xAE",zf="\u24C8",$f="\u2296",Hf="\u2295",Vf="\u2297",Wf="\u25CB",Kf="\u29C3",Qf="\u2257",jf="\u2A10",Xf="\u2AEF",Zf="\u29C2",Jf="\u2232",e0="\u201D",t0="\u2019",n0="\u2663",r0="\u2663",i0=":",a0="\u2237",o0="\u2A74",s0="\u2254",l0="\u2254",c0=",",u0="@",d0="\u2201",_0="\u2218",p0="\u2201",m0="\u2102",f0="\u2245",g0="\u2A6D",h0="\u2261",E0="\u222E",S0="\u222F",b0="\u222E",v0="\u{1D554}",T0="\u2102",y0="\u2210",C0="\u2210",R0="\xA9",O0="\xA9",N0="\u2117",A0="\u2233",I0="\u21B5",D0="\u2717",x0="\u2A2F",w0="\u{1D49E}",M0="\u{1D4B8}",L0="\u2ACF",k0="\u2AD1",P0="\u2AD0",B0="\u2AD2",F0="\u22EF",U0="\u2938",G0="\u2935",q0="\u22DE",Y0="\u22DF",z0="\u21B6",$0="\u293D",H0="\u2A48",V0="\u2A46",W0="\u224D",K0="\u222A",Q0="\u22D3",j0="\u2A4A",X0="\u228D",Z0="\u2A45",J0="\u222A\uFE00",eg="\u21B7",tg="\u293C",ng="\u22DE",rg="\u22DF",ig="\u22CE",ag="\u22CF",og="\xA4",sg="\u21B6",lg="\u21B7",cg="\u22CE",ug="\u22CF",dg="\u2232",_g="\u2231",pg="\u232D",mg="\u2020",fg="\u2021",gg="\u2138",hg="\u2193",Eg="\u21A1",Sg="\u21D3",bg="\u2010",vg="\u2AE4",Tg="\u22A3",yg="\u290F",Cg="\u02DD",Rg="\u010E",Og="\u010F",Ng="\u0414",Ag="\u0434",Ig="\u2021",Dg="\u21CA",xg="\u2145",wg="\u2146",Mg="\u2911",Lg="\u2A77",kg="\xB0",Pg="\u2207",Bg="\u0394",Fg="\u03B4",Ug="\u29B1",Gg="\u297F",qg="\u{1D507}",Yg="\u{1D521}",zg="\u2965",$g="\u21C3",Hg="\u21C2",Vg="\xB4",Wg="\u02D9",Kg="\u02DD",Qg="`",jg="\u02DC",Xg="\u22C4",Zg="\u22C4",Jg="\u22C4",eh="\u2666",th="\u2666",nh="\xA8",rh="\u2146",ih="\u03DD",ah="\u22F2",oh="\xF7",sh="\xF7",lh="\u22C7",ch="\u22C7",uh="\u0402",dh="\u0452",_h="\u231E",ph="\u230D",mh="$",fh="\u{1D53B}",gh="\u{1D555}",hh="\xA8",Eh="\u02D9",Sh="\u20DC",bh="\u2250",vh="\u2251",Th="\u2250",yh="\u2238",Ch="\u2214",Rh="\u22A1",Oh="\u2306",Nh="\u222F",Ah="\xA8",Ih="\u21D3",Dh="\u21D0",xh="\u21D4",wh="\u2AE4",Mh="\u27F8",Lh="\u27FA",kh="\u27F9",Ph="\u21D2",Bh="\u22A8",Fh="\u21D1",Uh="\u21D5",Gh="\u2225",qh="\u2913",Yh="\u2193",zh="\u2193",$h="\u21D3",Hh="\u21F5",Vh="\u0311",Wh="\u21CA",Kh="\u21C3",Qh="\u21C2",jh="\u2950",Xh="\u295E",Zh="\u2956",Jh="\u21BD",eE="\u295F",tE="\u2957",nE="\u21C1",rE="\u21A7",iE="\u22A4",aE="\u2910",oE="\u231F",sE="\u230C",lE="\u{1D49F}",cE="\u{1D4B9}",uE="\u0405",dE="\u0455",_E="\u29F6",pE="\u0110",mE="\u0111",fE="\u22F1",gE="\u25BF",hE="\u25BE",EE="\u21F5",SE="\u296F",bE="\u29A6",vE="\u040F",TE="\u045F",yE="\u27FF",CE="\xC9",RE="\xE9",OE="\u2A6E",NE="\u011A",AE="\u011B",IE="\xCA",DE="\xEA",xE="\u2256",wE="\u2255",ME="\u042D",LE="\u044D",kE="\u2A77",PE="\u0116",BE="\u0117",FE="\u2251",UE="\u2147",GE="\u2252",qE="\u{1D508}",YE="\u{1D522}",zE="\u2A9A",$E="\xC8",HE="\xE8",VE="\u2A96",WE="\u2A98",KE="\u2A99",QE="\u2208",jE="\u23E7",XE="\u2113",ZE="\u2A95",JE="\u2A97",eS="\u0112",tS="\u0113",nS="\u2205",rS="\u2205",iS="\u25FB",aS="\u2205",oS="\u25AB",sS="\u2004",lS="\u2005",cS="\u2003",uS="\u014A",dS="\u014B",_S="\u2002",pS="\u0118",mS="\u0119",fS="\u{1D53C}",gS="\u{1D556}",hS="\u22D5",ES="\u29E3",SS="\u2A71",bS="\u03B5",vS="\u0395",TS="\u03B5",yS="\u03F5",CS="\u2256",RS="\u2255",OS="\u2242",NS="\u2A96",AS="\u2A95",IS="\u2A75",DS="=",xS="\u2242",wS="\u225F",MS="\u21CC",LS="\u2261",kS="\u2A78",PS="\u29E5",BS="\u2971",FS="\u2253",US="\u212F",GS="\u2130",qS="\u2250",YS="\u2A73",zS="\u2242",$S="\u0397",HS="\u03B7",VS="\xD0",WS="\xF0",KS="\xCB",QS="\xEB",jS="\u20AC",XS="!",ZS="\u2203",JS="\u2203",eb="\u2130",tb="\u2147",nb="\u2147",rb="\u2252",ib="\u0424",ab="\u0444",ob="\u2640",sb="\uFB03",lb="\uFB00",cb="\uFB04",ub="\u{1D509}",db="\u{1D523}",_b="\uFB01",pb="\u25FC",mb="\u25AA",fb="fj",gb="\u266D",hb="\uFB02",Eb="\u25B1",Sb="\u0192",bb="\u{1D53D}",vb="\u{1D557}",Tb="\u2200",yb="\u2200",Cb="\u22D4",Rb="\u2AD9",Ob="\u2131",Nb="\u2A0D",Ab="\xBD",Ib="\u2153",Db="\xBC",xb="\u2155",wb="\u2159",Mb="\u215B",Lb="\u2154",kb="\u2156",Pb="\xBE",Bb="\u2157",Fb="\u215C",Ub="\u2158",Gb="\u215A",qb="\u215D",Yb="\u215E",zb="\u2044",$b="\u2322",Hb="\u{1D4BB}",Vb="\u2131",Wb="\u01F5",Kb="\u0393",Qb="\u03B3",jb="\u03DC",Xb="\u03DD",Zb="\u2A86",Jb="\u011E",ev="\u011F",tv="\u0122",nv="\u011C",rv="\u011D",iv="\u0413",av="\u0433",ov="\u0120",sv="\u0121",lv="\u2265",cv="\u2267",uv="\u2A8C",dv="\u22DB",_v="\u2265",pv="\u2267",mv="\u2A7E",fv="\u2AA9",gv="\u2A7E",hv="\u2A80",Ev="\u2A82",Sv="\u2A84",bv="\u22DB\uFE00",vv="\u2A94",Tv="\u{1D50A}",yv="\u{1D524}",Cv="\u226B",Rv="\u22D9",Ov="\u22D9",Nv="\u2137",Av="\u0403",Iv="\u0453",Dv="\u2AA5",xv="\u2277",wv="\u2A92",Mv="\u2AA4",Lv="\u2A8A",kv="\u2A8A",Pv="\u2A88",Bv="\u2269",Fv="\u2A88",Uv="\u2269",Gv="\u22E7",qv="\u{1D53E}",Yv="\u{1D558}",zv="`",$v="\u2265",Hv="\u22DB",Vv="\u2267",Wv="\u2AA2",Kv="\u2277",Qv="\u2A7E",jv="\u2273",Xv="\u{1D4A2}",Zv="\u210A",Jv="\u2273",eT="\u2A8E",tT="\u2A90",nT="\u2AA7",rT="\u2A7A",iT=">",aT=">",oT="\u226B",sT="\u22D7",lT="\u2995",cT="\u2A7C",uT="\u2A86",dT="\u2978",_T="\u22D7",pT="\u22DB",mT="\u2A8C",fT="\u2277",gT="\u2273",hT="\u2269\uFE00",ET="\u2269\uFE00",ST="\u02C7",bT="\u200A",vT="\xBD",TT="\u210B",yT="\u042A",CT="\u044A",RT="\u2948",OT="\u2194",NT="\u21D4",AT="\u21AD",IT="^",DT="\u210F",xT="\u0124",wT="\u0125",MT="\u2665",LT="\u2665",kT="\u2026",PT="\u22B9",BT="\u{1D525}",FT="\u210C",UT="\u210B",GT="\u2925",qT="\u2926",YT="\u21FF",zT="\u223B",$T="\u21A9",HT="\u21AA",VT="\u{1D559}",WT="\u210D",KT="\u2015",QT="\u2500",jT="\u{1D4BD}",XT="\u210B",ZT="\u210F",JT="\u0126",ey="\u0127",ty="\u224E",ny="\u224F",ry="\u2043",iy="\u2010",ay="\xCD",oy="\xED",sy="\u2063",ly="\xCE",cy="\xEE",uy="\u0418",dy="\u0438",_y="\u0130",py="\u0415",my="\u0435",fy="\xA1",gy="\u21D4",hy="\u{1D526}",Ey="\u2111",Sy="\xCC",by="\xEC",vy="\u2148",Ty="\u2A0C",yy="\u222D",Cy="\u29DC",Ry="\u2129",Oy="\u0132",Ny="\u0133",Ay="\u012A",Iy="\u012B",Dy="\u2111",xy="\u2148",wy="\u2110",My="\u2111",Ly="\u0131",ky="\u2111",Py="\u22B7",By="\u01B5",Fy="\u21D2",Uy="\u2105",Gy="\u221E",qy="\u29DD",Yy="\u0131",zy="\u22BA",$y="\u222B",Hy="\u222C",Vy="\u2124",Wy="\u222B",Ky="\u22BA",Qy="\u22C2",jy="\u2A17",Xy="\u2A3C",Zy="\u2063",Jy="\u2062",e1="\u0401",t1="\u0451",n1="\u012E",r1="\u012F",i1="\u{1D540}",a1="\u{1D55A}",o1="\u0399",s1="\u03B9",l1="\u2A3C",c1="\xBF",u1="\u{1D4BE}",d1="\u2110",_1="\u2208",p1="\u22F5",m1="\u22F9",f1="\u22F4",g1="\u22F3",h1="\u2208",E1="\u2062",S1="\u0128",b1="\u0129",v1="\u0406",T1="\u0456",y1="\xCF",C1="\xEF",R1="\u0134",O1="\u0135",N1="\u0419",A1="\u0439",I1="\u{1D50D}",D1="\u{1D527}",x1="\u0237",w1="\u{1D541}",M1="\u{1D55B}",L1="\u{1D4A5}",k1="\u{1D4BF}",P1="\u0408",B1="\u0458",F1="\u0404",U1="\u0454",G1="\u039A",q1="\u03BA",Y1="\u03F0",z1="\u0136",$1="\u0137",H1="\u041A",V1="\u043A",W1="\u{1D50E}",K1="\u{1D528}",Q1="\u0138",j1="\u0425",X1="\u0445",Z1="\u040C",J1="\u045C",eC="\u{1D542}",tC="\u{1D55C}",nC="\u{1D4A6}",rC="\u{1D4C0}",iC="\u21DA",aC="\u0139",oC="\u013A",sC="\u29B4",lC="\u2112",cC="\u039B",uC="\u03BB",dC="\u27E8",_C="\u27EA",pC="\u2991",mC="\u27E8",fC="\u2A85",gC="\u2112",hC="\xAB",EC="\u21E4",SC="\u291F",bC="\u2190",vC="\u219E",TC="\u21D0",yC="\u291D",CC="\u21A9",RC="\u21AB",OC="\u2939",NC="\u2973",AC="\u21A2",IC="\u2919",DC="\u291B",xC="\u2AAB",wC="\u2AAD",MC="\u2AAD\uFE00",LC="\u290C",kC="\u290E",PC="\u2772",BC="{",FC="[",UC="\u298B",GC="\u298F",qC="\u298D",YC="\u013D",zC="\u013E",$C="\u013B",HC="\u013C",VC="\u2308",WC="{",KC="\u041B",QC="\u043B",jC="\u2936",XC="\u201C",ZC="\u201E",JC="\u2967",eR="\u294B",tR="\u21B2",nR="\u2264",rR="\u2266",iR="\u27E8",aR="\u21E4",oR="\u2190",sR="\u2190",lR="\u21D0",cR="\u21C6",uR="\u21A2",dR="\u2308",_R="\u27E6",pR="\u2961",mR="\u2959",fR="\u21C3",gR="\u230A",hR="\u21BD",ER="\u21BC",SR="\u21C7",bR="\u2194",vR="\u2194",TR="\u21D4",yR="\u21C6",CR="\u21CB",RR="\u21AD",OR="\u294E",NR="\u21A4",AR="\u22A3",IR="\u295A",DR="\u22CB",xR="\u29CF",wR="\u22B2",MR="\u22B4",LR="\u2951",kR="\u2960",PR="\u2958",BR="\u21BF",FR="\u2952",UR="\u21BC",GR="\u2A8B",qR="\u22DA",YR="\u2264",zR="\u2266",$R="\u2A7D",HR="\u2AA8",VR="\u2A7D",WR="\u2A7F",KR="\u2A81",QR="\u2A83",jR="\u22DA\uFE00",XR="\u2A93",ZR="\u2A85",JR="\u22D6",eO="\u22DA",tO="\u2A8B",nO="\u22DA",rO="\u2266",iO="\u2276",aO="\u2276",oO="\u2AA1",sO="\u2272",lO="\u2A7D",cO="\u2272",uO="\u297C",dO="\u230A",_O="\u{1D50F}",pO="\u{1D529}",mO="\u2276",fO="\u2A91",gO="\u2962",hO="\u21BD",EO="\u21BC",SO="\u296A",bO="\u2584",vO="\u0409",TO="\u0459",yO="\u21C7",CO="\u226A",RO="\u22D8",OO="\u231E",NO="\u21DA",AO="\u296B",IO="\u25FA",DO="\u013F",xO="\u0140",wO="\u23B0",MO="\u23B0",LO="\u2A89",kO="\u2A89",PO="\u2A87",BO="\u2268",FO="\u2A87",UO="\u2268",GO="\u22E6",qO="\u27EC",YO="\u21FD",zO="\u27E6",$O="\u27F5",HO="\u27F5",VO="\u27F8",WO="\u27F7",KO="\u27F7",QO="\u27FA",jO="\u27FC",XO="\u27F6",ZO="\u27F6",JO="\u27F9",eN="\u21AB",tN="\u21AC",nN="\u2985",rN="\u{1D543}",iN="\u{1D55D}",aN="\u2A2D",oN="\u2A34",sN="\u2217",lN="_",cN="\u2199",uN="\u2198",dN="\u25CA",_N="\u25CA",pN="\u29EB",mN="(",fN="\u2993",gN="\u21C6",hN="\u231F",EN="\u21CB",SN="\u296D",bN="\u200E",vN="\u22BF",TN="\u2039",yN="\u{1D4C1}",CN="\u2112",RN="\u21B0",ON="\u21B0",NN="\u2272",AN="\u2A8D",IN="\u2A8F",DN="[",xN="\u2018",wN="\u201A",MN="\u0141",LN="\u0142",kN="\u2AA6",PN="\u2A79",BN="<",FN="<",UN="\u226A",GN="\u22D6",qN="\u22CB",YN="\u22C9",zN="\u2976",$N="\u2A7B",HN="\u25C3",VN="\u22B4",WN="\u25C2",KN="\u2996",QN="\u294A",jN="\u2966",XN="\u2268\uFE00",ZN="\u2268\uFE00",JN="\xAF",eA="\u2642",tA="\u2720",nA="\u2720",rA="\u21A6",iA="\u21A6",aA="\u21A7",oA="\u21A4",sA="\u21A5",lA="\u25AE",cA="\u2A29",uA="\u041C",dA="\u043C",_A="\u2014",pA="\u223A",mA="\u2221",fA="\u205F",gA="\u2133",hA="\u{1D510}",EA="\u{1D52A}",SA="\u2127",bA="\xB5",vA="*",TA="\u2AF0",yA="\u2223",CA="\xB7",RA="\u229F",OA="\u2212",NA="\u2238",AA="\u2A2A",IA="\u2213",DA="\u2ADB",xA="\u2026",wA="\u2213",MA="\u22A7",LA="\u{1D544}",kA="\u{1D55E}",PA="\u2213",BA="\u{1D4C2}",FA="\u2133",UA="\u223E",GA="\u039C",qA="\u03BC",YA="\u22B8",zA="\u22B8",HA="\u2207",VA="\u0143",WA="\u0144",KA="\u2220\u20D2",QA="\u2249",jA="\u2A70\u0338",XA="\u224B\u0338",ZA="\u0149",JA="\u2249",eI="\u266E",tI="\u2115",nI="\u266E",rI="\xA0",iI="\u224E\u0338",aI="\u224F\u0338",oI="\u2A43",sI="\u0147",lI="\u0148",cI="\u0145",uI="\u0146",dI="\u2247",_I="\u2A6D\u0338",pI="\u2A42",mI="\u041D",fI="\u043D",gI="\u2013",hI="\u2924",EI="\u2197",SI="\u21D7",bI="\u2197",vI="\u2260",TI="\u2250\u0338",yI="\u200B",CI="\u200B",RI="\u200B",OI="\u200B",NI="\u2262",AI="\u2928",II="\u2242\u0338",DI="\u226B",xI="\u226A",wI=` `,MI="\u2204",LI="\u2204",kI="\u{1D511}",PI="\u{1D52B}",BI="\u2267\u0338",FI="\u2271",UI="\u2271",GI="\u2267\u0338",qI="\u2A7E\u0338",YI="\u2A7E\u0338",zI="\u22D9\u0338",$I="\u2275",HI="\u226B\u20D2",VI="\u226F",WI="\u226F",KI="\u226B\u0338",QI="\u21AE",jI="\u21CE",XI="\u2AF2",ZI="\u220B",JI="\u22FC",eD="\u22FA",tD="\u220B",nD="\u040A",rD="\u045A",iD="\u219A",aD="\u21CD",oD="\u2025",sD="\u2266\u0338",lD="\u2270",cD="\u219A",uD="\u21CD",dD="\u21AE",_D="\u21CE",pD="\u2270",mD="\u2266\u0338",fD="\u2A7D\u0338",gD="\u2A7D\u0338",hD="\u226E",ED="\u22D8\u0338",SD="\u2274",bD="\u226A\u20D2",vD="\u226E",TD="\u22EA",yD="\u22EC",CD="\u226A\u0338",RD="\u2224",OD="\u2060",ND="\xA0",AD="\u{1D55F}",ID="\u2115",DD="\u2AEC",xD="\xAC",wD="\u2262",MD="\u226D",LD="\u2226",kD="\u2209",PD="\u2260",BD="\u2242\u0338",FD="\u2204",UD="\u226F",GD="\u2271",qD="\u2267\u0338",YD="\u226B\u0338",zD="\u2279",$D="\u2A7E\u0338",HD="\u2275",VD="\u224E\u0338",WD="\u224F\u0338",KD="\u2209",QD="\u22F5\u0338",jD="\u22F9\u0338",XD="\u2209",ZD="\u22F7",JD="\u22F6",ex="\u29CF\u0338",tx="\u22EA",nx="\u22EC",rx="\u226E",ix="\u2270",ax="\u2278",ox="\u226A\u0338",sx="\u2A7D\u0338",lx="\u2274",cx="\u2AA2\u0338",ux="\u2AA1\u0338",dx="\u220C",_x="\u220C",px="\u22FE",mx="\u22FD",fx="\u2280",gx="\u2AAF\u0338",hx="\u22E0",Ex="\u220C",Sx="\u29D0\u0338",bx="\u22EB",vx="\u22ED",Tx="\u228F\u0338",yx="\u22E2",Cx="\u2290\u0338",Rx="\u22E3",Ox="\u2282\u20D2",Nx="\u2288",Ax="\u2281",Ix="\u2AB0\u0338",Dx="\u22E1",xx="\u227F\u0338",wx="\u2283\u20D2",Mx="\u2289",Lx="\u2241",kx="\u2244",Px="\u2247",Bx="\u2249",Fx="\u2224",Ux="\u2226",Gx="\u2226",qx="\u2AFD\u20E5",Yx="\u2202\u0338",zx="\u2A14",$x="\u2280",Hx="\u22E0",Vx="\u2280",Wx="\u2AAF\u0338",Kx="\u2AAF\u0338",Qx="\u2933\u0338",jx="\u219B",Xx="\u21CF",Zx="\u219D\u0338",Jx="\u219B",ew="\u21CF",tw="\u22EB",nw="\u22ED",rw="\u2281",iw="\u22E1",aw="\u2AB0\u0338",ow="\u{1D4A9}",sw="\u{1D4C3}",lw="\u2224",cw="\u2226",uw="\u2241",dw="\u2244",_w="\u2244",pw="\u2224",mw="\u2226",fw="\u22E2",gw="\u22E3",hw="\u2284",Ew="\u2AC5\u0338",Sw="\u2288",bw="\u2282\u20D2",vw="\u2288",Tw="\u2AC5\u0338",yw="\u2281",Cw="\u2AB0\u0338",Rw="\u2285",Ow="\u2AC6\u0338",Nw="\u2289",Aw="\u2283\u20D2",Iw="\u2289",Dw="\u2AC6\u0338",xw="\u2279",ww="\xD1",Mw="\xF1",Lw="\u2278",kw="\u22EA",Pw="\u22EC",Bw="\u22EB",Fw="\u22ED",Uw="\u039D",Gw="\u03BD",qw="#",Yw="\u2116",zw="\u2007",$w="\u224D\u20D2",Hw="\u22AC",Vw="\u22AD",Ww="\u22AE",Kw="\u22AF",Qw="\u2265\u20D2",jw=">\u20D2",Xw="\u2904",Zw="\u29DE",Jw="\u2902",e2="\u2264\u20D2",t2="<\u20D2",n2="\u22B4\u20D2",r2="\u2903",i2="\u22B5\u20D2",a2="\u223C\u20D2",o2="\u2923",s2="\u2196",l2="\u21D6",c2="\u2196",u2="\u2927",d2="\xD3",_2="\xF3",p2="\u229B",m2="\xD4",f2="\xF4",g2="\u229A",h2="\u041E",E2="\u043E",S2="\u229D",b2="\u0150",v2="\u0151",T2="\u2A38",y2="\u2299",C2="\u29BC",R2="\u0152",O2="\u0153",N2="\u29BF",A2="\u{1D512}",I2="\u{1D52C}",D2="\u02DB",x2="\xD2",w2="\xF2",M2="\u29C1",L2="\u29B5",k2="\u03A9",P2="\u222E",B2="\u21BA",F2="\u29BE",U2="\u29BB",G2="\u203E",q2="\u29C0",Y2="\u014C",z2="\u014D",$2="\u03A9",H2="\u03C9",V2="\u039F",W2="\u03BF",K2="\u29B6",Q2="\u2296",j2="\u{1D546}",X2="\u{1D560}",Z2="\u29B7",J2="\u201C",eM="\u2018",tM="\u29B9",nM="\u2295",rM="\u21BB",iM="\u2A54",aM="\u2228",oM="\u2A5D",sM="\u2134",lM="\u2134",cM="\xAA",uM="\xBA",dM="\u22B6",_M="\u2A56",pM="\u2A57",mM="\u2A5B",fM="\u24C8",gM="\u{1D4AA}",hM="\u2134",EM="\xD8",SM="\xF8",bM="\u2298",vM="\xD5",TM="\xF5",yM="\u2A36",CM="\u2A37",RM="\u2297",OM="\xD6",NM="\xF6",AM="\u233D",IM="\u203E",DM="\u23DE",xM="\u23B4",wM="\u23DC",MM="\xB6",LM="\u2225",kM="\u2225",PM="\u2AF3",BM="\u2AFD",FM="\u2202",UM="\u2202",GM="\u041F",qM="\u043F",YM="%",zM=".",$M="\u2030",HM="\u22A5",VM="\u2031",WM="\u{1D513}",KM="\u{1D52D}",QM="\u03A6",jM="\u03C6",XM="\u03D5",ZM="\u2133",JM="\u260E",e4="\u03A0",t4="\u03C0",n4="\u22D4",r4="\u03D6",i4="\u210F",a4="\u210E",o4="\u210F",s4="\u2A23",l4="\u229E",c4="\u2A22",u4="+",d4="\u2214",_4="\u2A25",p4="\u2A72",m4="\xB1",f4="\xB1",g4="\u2A26",h4="\u2A27",E4="\xB1",S4="\u210C",b4="\u2A15",v4="\u{1D561}",T4="\u2119",y4="\xA3",C4="\u2AB7",R4="\u2ABB",O4="\u227A",N4="\u227C",A4="\u2AB7",I4="\u227A",D4="\u227C",x4="\u227A",w4="\u2AAF",M4="\u227C",L4="\u227E",k4="\u2AAF",P4="\u2AB9",B4="\u2AB5",F4="\u22E8",U4="\u2AAF",G4="\u2AB3",q4="\u227E",Y4="\u2032",z4="\u2033",$4="\u2119",H4="\u2AB9",V4="\u2AB5",W4="\u22E8",K4="\u220F",Q4="\u220F",j4="\u232E",X4="\u2312",Z4="\u2313",J4="\u221D",eL="\u221D",tL="\u2237",nL="\u221D",rL="\u227E",iL="\u22B0",aL="\u{1D4AB}",oL="\u{1D4C5}",sL="\u03A8",lL="\u03C8",cL="\u2008",uL="\u{1D514}",dL="\u{1D52E}",_L="\u2A0C",pL="\u{1D562}",mL="\u211A",fL="\u2057",gL="\u{1D4AC}",hL="\u{1D4C6}",EL="\u210D",SL="\u2A16",bL="?",vL="\u225F",TL='"',yL='"',CL="\u21DB",RL="\u223D\u0331",OL="\u0154",NL="\u0155",AL="\u221A",IL="\u29B3",DL="\u27E9",xL="\u27EB",wL="\u2992",ML="\u29A5",LL="\u27E9",kL="\xBB",PL="\u2975",BL="\u21E5",FL="\u2920",UL="\u2933",GL="\u2192",qL="\u21A0",YL="\u21D2",zL="\u291E",$L="\u21AA",HL="\u21AC",VL="\u2945",WL="\u2974",KL="\u2916",QL="\u21A3",jL="\u219D",XL="\u291A",ZL="\u291C",JL="\u2236",ek="\u211A",tk="\u290D",nk="\u290F",rk="\u2910",ik="\u2773",ak="}",ok="]",sk="\u298C",lk="\u298E",ck="\u2990",uk="\u0158",dk="\u0159",_k="\u0156",pk="\u0157",mk="\u2309",fk="}",gk="\u0420",hk="\u0440",Ek="\u2937",Sk="\u2969",bk="\u201D",vk="\u201D",Tk="\u21B3",yk="\u211C",Ck="\u211B",Rk="\u211C",Ok="\u211D",Nk="\u211C",Ak="\u25AD",Ik="\xAE",Dk="\xAE",xk="\u220B",wk="\u21CB",Mk="\u296F",Lk="\u297D",kk="\u230B",Pk="\u{1D52F}",Bk="\u211C",Fk="\u2964",Uk="\u21C1",Gk="\u21C0",qk="\u296C",Yk="\u03A1",zk="\u03C1",$k="\u03F1",Hk="\u27E9",Vk="\u21E5",Wk="\u2192",Kk="\u2192",Qk="\u21D2",jk="\u21C4",Xk="\u21A3",Zk="\u2309",Jk="\u27E7",e3="\u295D",t3="\u2955",n3="\u21C2",r3="\u230B",i3="\u21C1",a3="\u21C0",o3="\u21C4",s3="\u21CC",l3="\u21C9",c3="\u219D",u3="\u21A6",d3="\u22A2",_3="\u295B",p3="\u22CC",m3="\u29D0",f3="\u22B3",g3="\u22B5",h3="\u294F",E3="\u295C",S3="\u2954",b3="\u21BE",v3="\u2953",T3="\u21C0",y3="\u02DA",C3="\u2253",R3="\u21C4",O3="\u21CC",N3="\u200F",A3="\u23B1",I3="\u23B1",D3="\u2AEE",x3="\u27ED",w3="\u21FE",M3="\u27E7",L3="\u2986",k3="\u{1D563}",P3="\u211D",B3="\u2A2E",F3="\u2A35",U3="\u2970",G3=")",q3="\u2994",Y3="\u2A12",z3="\u21C9",$3="\u21DB",H3="\u203A",V3="\u{1D4C7}",W3="\u211B",K3="\u21B1",Q3="\u21B1",j3="]",X3="\u2019",Z3="\u2019",J3="\u22CC",e5="\u22CA",t5="\u25B9",n5="\u22B5",r5="\u25B8",i5="\u29CE",a5="\u29F4",o5="\u2968",s5="\u211E",l5="\u015A",c5="\u015B",u5="\u201A",d5="\u2AB8",_5="\u0160",p5="\u0161",m5="\u2ABC",f5="\u227B",g5="\u227D",h5="\u2AB0",E5="\u2AB4",S5="\u015E",b5="\u015F",v5="\u015C",T5="\u015D",y5="\u2ABA",C5="\u2AB6",R5="\u22E9",O5="\u2A13",N5="\u227F",A5="\u0421",I5="\u0441",D5="\u22A1",x5="\u22C5",w5="\u2A66",M5="\u2925",L5="\u2198",k5="\u21D8",P5="\u2198",B5="\xA7",F5=";",U5="\u2929",G5="\u2216",q5="\u2216",Y5="\u2736",z5="\u{1D516}",$5="\u{1D530}",H5="\u2322",V5="\u266F",W5="\u0429",K5="\u0449",Q5="\u0428",j5="\u0448",X5="\u2193",Z5="\u2190",J5="\u2223",eP="\u2225",tP="\u2192",nP="\u2191",rP="\xAD",iP="\u03A3",aP="\u03C3",oP="\u03C2",sP="\u03C2",lP="\u223C",cP="\u2A6A",uP="\u2243",dP="\u2243",_P="\u2A9E",pP="\u2AA0",mP="\u2A9D",fP="\u2A9F",gP="\u2246",hP="\u2A24",EP="\u2972",SP="\u2190",bP="\u2218",vP="\u2216",TP="\u2A33",yP="\u29E4",CP="\u2223",RP="\u2323",OP="\u2AAA",NP="\u2AAC",AP="\u2AAC\uFE00",IP="\u042C",DP="\u044C",xP="\u233F",wP="\u29C4",MP="/",LP="\u{1D54A}",kP="\u{1D564}",PP="\u2660",BP="\u2660",FP="\u2225",UP="\u2293",GP="\u2293\uFE00",qP="\u2294",YP="\u2294\uFE00",zP="\u221A",$P="\u228F",HP="\u2291",VP="\u228F",WP="\u2291",KP="\u2290",QP="\u2292",jP="\u2290",XP="\u2292",ZP="\u25A1",JP="\u25A1",e6="\u2293",t6="\u228F",n6="\u2291",r6="\u2290",i6="\u2292",a6="\u2294",o6="\u25AA",s6="\u25A1",l6="\u25AA",c6="\u2192",u6="\u{1D4AE}",d6="\u{1D4C8}",_6="\u2216",p6="\u2323",m6="\u22C6",f6="\u22C6",g6="\u2606",h6="\u2605",E6="\u03F5",S6="\u03D5",b6="\xAF",v6="\u2282",T6="\u22D0",y6="\u2ABD",C6="\u2AC5",R6="\u2286",O6="\u2AC3",N6="\u2AC1",A6="\u2ACB",I6="\u228A",D6="\u2ABF",x6="\u2979",w6="\u2282",M6="\u22D0",L6="\u2286",k6="\u2AC5",P6="\u2286",B6="\u228A",F6="\u2ACB",U6="\u2AC7",G6="\u2AD5",q6="\u2AD3",Y6="\u2AB8",z6="\u227B",$6="\u227D",H6="\u227B",V6="\u2AB0",W6="\u227D",K6="\u227F",Q6="\u2AB0",j6="\u2ABA",X6="\u2AB6",Z6="\u22E9",J6="\u227F",e7="\u220B",t7="\u2211",n7="\u2211",r7="\u266A",i7="\xB9",a7="\xB2",o7="\xB3",s7="\u2283",l7="\u22D1",c7="\u2ABE",u7="\u2AD8",d7="\u2AC6",_7="\u2287",p7="\u2AC4",m7="\u2283",f7="\u2287",g7="\u27C9",h7="\u2AD7",E7="\u297B",S7="\u2AC2",b7="\u2ACC",v7="\u228B",T7="\u2AC0",y7="\u2283",C7="\u22D1",R7="\u2287",O7="\u2AC6",N7="\u228B",A7="\u2ACC",I7="\u2AC8",D7="\u2AD4",x7="\u2AD6",w7="\u2926",M7="\u2199",L7="\u21D9",k7="\u2199",P7="\u292A",B7="\xDF",F7=" ",U7="\u2316",G7="\u03A4",q7="\u03C4",Y7="\u23B4",z7="\u0164",$7="\u0165",H7="\u0162",V7="\u0163",W7="\u0422",K7="\u0442",Q7="\u20DB",j7="\u2315",X7="\u{1D517}",Z7="\u{1D531}",J7="\u2234",e8="\u2234",t8="\u2234",n8="\u0398",r8="\u03B8",i8="\u03D1",a8="\u03D1",o8="\u2248",s8="\u223C",l8="\u205F\u200A",c8="\u2009",u8="\u2009",d8="\u2248",_8="\u223C",p8="\xDE",m8="\xFE",f8="\u02DC",g8="\u223C",h8="\u2243",E8="\u2245",S8="\u2248",b8="\u2A31",v8="\u22A0",T8="\xD7",y8="\u2A30",C8="\u222D",R8="\u2928",O8="\u2336",N8="\u2AF1",A8="\u22A4",I8="\u{1D54B}",D8="\u{1D565}",x8="\u2ADA",w8="\u2929",M8="\u2034",L8="\u2122",k8="\u2122",P8="\u25B5",B8="\u25BF",F8="\u25C3",U8="\u22B4",G8="\u225C",q8="\u25B9",Y8="\u22B5",z8="\u25EC",$8="\u225C",H8="\u2A3A",V8="\u20DB",W8="\u2A39",K8="\u29CD",Q8="\u2A3B",j8="\u23E2",X8="\u{1D4AF}",Z8="\u{1D4C9}",J8="\u0426",e9="\u0446",t9="\u040B",n9="\u045B",r9="\u0166",i9="\u0167",a9="\u226C",o9="\u219E",s9="\u21A0",l9="\xDA",c9="\xFA",u9="\u2191",d9="\u219F",_9="\u21D1",p9="\u2949",m9="\u040E",f9="\u045E",g9="\u016C",h9="\u016D",E9="\xDB",S9="\xFB",b9="\u0423",v9="\u0443",T9="\u21C5",y9="\u0170",C9="\u0171",R9="\u296E",O9="\u297E",N9="\u{1D518}",A9="\u{1D532}",I9="\xD9",D9="\xF9",x9="\u2963",w9="\u21BF",M9="\u21BE",L9="\u2580",k9="\u231C",P9="\u231C",B9="\u230F",F9="\u25F8",U9="\u016A",G9="\u016B",q9="\xA8",Y9="_",z9="\u23DF",$9="\u23B5",H9="\u23DD",V9="\u22C3",W9="\u228E",K9="\u0172",Q9="\u0173",j9="\u{1D54C}",X9="\u{1D566}",Z9="\u2912",J9="\u2191",eB="\u2191",tB="\u21D1",nB="\u21C5",rB="\u2195",iB="\u2195",aB="\u21D5",oB="\u296E",sB="\u21BF",lB="\u21BE",cB="\u228E",uB="\u2196",dB="\u2197",_B="\u03C5",pB="\u03D2",mB="\u03D2",fB="\u03A5",gB="\u03C5",hB="\u21A5",EB="\u22A5",SB="\u21C8",bB="\u231D",vB="\u231D",TB="\u230E",yB="\u016E",CB="\u016F",RB="\u25F9",OB="\u{1D4B0}",NB="\u{1D4CA}",AB="\u22F0",IB="\u0168",DB="\u0169",xB="\u25B5",wB="\u25B4",MB="\u21C8",LB="\xDC",kB="\xFC",PB="\u29A7",BB="\u299C",FB="\u03F5",UB="\u03F0",GB="\u2205",qB="\u03D5",YB="\u03D6",zB="\u221D",$B="\u2195",HB="\u21D5",VB="\u03F1",WB="\u03C2",KB="\u228A\uFE00",QB="\u2ACB\uFE00",jB="\u228B\uFE00",XB="\u2ACC\uFE00",ZB="\u03D1",JB="\u22B2",eF="\u22B3",tF="\u2AE8",nF="\u2AEB",rF="\u2AE9",iF="\u0412",aF="\u0432",oF="\u22A2",sF="\u22A8",lF="\u22A9",cF="\u22AB",uF="\u2AE6",dF="\u22BB",_F="\u2228",pF="\u22C1",mF="\u225A",fF="\u22EE",gF="|",hF="\u2016",EF="|",SF="\u2016",bF="\u2223",vF="|",TF="\u2758",yF="\u2240",CF="\u200A",RF="\u{1D519}",OF="\u{1D533}",NF="\u22B2",AF="\u2282\u20D2",IF="\u2283\u20D2",DF="\u{1D54D}",xF="\u{1D567}",wF="\u221D",MF="\u22B3",LF="\u{1D4B1}",kF="\u{1D4CB}",PF="\u2ACB\uFE00",BF="\u228A\uFE00",FF="\u2ACC\uFE00",UF="\u228B\uFE00",GF="\u22AA",qF="\u299A",YF="\u0174",zF="\u0175",$F="\u2A5F",HF="\u2227",VF="\u22C0",WF="\u2259",KF="\u2118",QF="\u{1D51A}",jF="\u{1D534}",XF="\u{1D54E}",ZF="\u{1D568}",JF="\u2118",eU="\u2240",tU="\u2240",nU="\u{1D4B2}",rU="\u{1D4CC}",iU="\u22C2",aU="\u25EF",oU="\u22C3",sU="\u25BD",lU="\u{1D51B}",cU="\u{1D535}",uU="\u27F7",dU="\u27FA",_U="\u039E",pU="\u03BE",mU="\u27F5",fU="\u27F8",gU="\u27FC",hU="\u22FB",EU="\u2A00",SU="\u{1D54F}",bU="\u{1D569}",vU="\u2A01",TU="\u2A02",yU="\u27F6",CU="\u27F9",RU="\u{1D4B3}",OU="\u{1D4CD}",NU="\u2A06",AU="\u2A04",IU="\u25B3",DU="\u22C1",xU="\u22C0",wU="\xDD",MU="\xFD",LU="\u042F",kU="\u044F",PU="\u0176",BU="\u0177",FU="\u042B",UU="\u044B",GU="\xA5",qU="\u{1D51C}",YU="\u{1D536}",zU="\u0407",$U="\u0457",HU="\u{1D550}",VU="\u{1D56A}",WU="\u{1D4B4}",KU="\u{1D4CE}",QU="\u042E",jU="\u044E",XU="\xFF",ZU="\u0178",JU="\u0179",eG="\u017A",tG="\u017D",nG="\u017E",rG="\u0417",iG="\u0437",aG="\u017B",oG="\u017C",sG="\u2128",lG="\u200B",cG="\u0396",uG="\u03B6",dG="\u{1D537}",_G="\u2128",pG="\u0416",mG="\u0436",fG="\u21DD",gG="\u{1D56B}",hG="\u2124",EG="\u{1D4B5}",SG="\u{1D4CF}",bG="\u200D",vG="\u200C";var TG={Aacute:rd,aacute:id,Abreve:ad,abreve:od,ac:sd,acd:ld,acE:cd,Acirc:ud,acirc:dd,acute:_d,Acy:pd,acy:md,AElig:fd,aelig:gd,af:hd,Afr:Ed,afr:Sd,Agrave:bd,agrave:vd,alefsym:Td,aleph:yd,Alpha:Cd,alpha:Rd,Amacr:Od,amacr:Nd,amalg:Ad,amp:Id,AMP:Dd,andand:xd,And:wd,and:Md,andd:Ld,andslope:kd,andv:Pd,ang:Bd,ange:Fd,angle:Ud,angmsdaa:Gd,angmsdab:qd,angmsdac:Yd,angmsdad:zd,angmsdae:$d,angmsdaf:Hd,angmsdag:Vd,angmsdah:Wd,angmsd:Kd,angrt:Qd,angrtvb:jd,angrtvbd:Xd,angsph:Zd,angst:Jd,angzarr:e_,Aogon:t_,aogon:n_,Aopf:r_,aopf:i_,apacir:a_,ap:o_,apE:s_,ape:l_,apid:c_,apos:u_,ApplyFunction:d_,approx:__,approxeq:p_,Aring:m_,aring:f_,Ascr:g_,ascr:h_,Assign:E_,ast:S_,asymp:b_,asympeq:v_,Atilde:T_,atilde:y_,Auml:C_,auml:R_,awconint:O_,awint:N_,backcong:A_,backepsilon:I_,backprime:D_,backsim:x_,backsimeq:w_,Backslash:M_,Barv:L_,barvee:k_,barwed:P_,Barwed:B_,barwedge:F_,bbrk:U_,bbrktbrk:G_,bcong:q_,Bcy:Y_,bcy:z_,bdquo:$_,becaus:H_,because:V_,Because:W_,bemptyv:K_,bepsi:Q_,bernou:j_,Bernoullis:X_,Beta:Z_,beta:J_,beth:ep,between:tp,Bfr:np,bfr:rp,bigcap:ip,bigcirc:ap,bigcup:op,bigodot:sp,bigoplus:lp,bigotimes:cp,bigsqcup:up,bigstar:dp,bigtriangledown:_p,bigtriangleup:pp,biguplus:mp,bigvee:fp,bigwedge:gp,bkarow:hp,blacklozenge:Ep,blacksquare:Sp,blacktriangle:bp,blacktriangledown:vp,blacktriangleleft:Tp,blacktriangleright:yp,blank:Cp,blk12:Rp,blk14:Op,blk34:Np,block:Ap,bne:Ip,bnequiv:Dp,bNot:xp,bnot:wp,Bopf:Mp,bopf:Lp,bot:kp,bottom:Pp,bowtie:Bp,boxbox:Fp,boxdl:Up,boxdL:Gp,boxDl:qp,boxDL:Yp,boxdr:zp,boxdR:$p,boxDr:Hp,boxDR:Vp,boxh:Wp,boxH:Kp,boxhd:Qp,boxHd:jp,boxhD:Xp,boxHD:Zp,boxhu:Jp,boxHu:em,boxhU:tm,boxHU:nm,boxminus:rm,boxplus:im,boxtimes:am,boxul:om,boxuL:sm,boxUl:lm,boxUL:cm,boxur:um,boxuR:dm,boxUr:_m,boxUR:pm,boxv:mm,boxV:fm,boxvh:gm,boxvH:hm,boxVh:Em,boxVH:Sm,boxvl:bm,boxvL:vm,boxVl:Tm,boxVL:ym,boxvr:Cm,boxvR:Rm,boxVr:Om,boxVR:Nm,bprime:Am,breve:Im,Breve:Dm,brvbar:xm,bscr:wm,Bscr:Mm,bsemi:Lm,bsim:km,bsime:Pm,bsolb:Bm,bsol:Fm,bsolhsub:Um,bull:Gm,bullet:qm,bump:Ym,bumpE:zm,bumpe:$m,Bumpeq:Hm,bumpeq:Vm,Cacute:Wm,cacute:Km,capand:Qm,capbrcup:jm,capcap:Xm,cap:Zm,Cap:Jm,capcup:ef,capdot:tf,CapitalDifferentialD:nf,caps:rf,caret:af,caron:of,Cayleys:sf,ccaps:lf,Ccaron:cf,ccaron:uf,Ccedil:df,ccedil:_f,Ccirc:pf,ccirc:mf,Cconint:ff,ccups:gf,ccupssm:hf,Cdot:Ef,cdot:Sf,cedil:bf,Cedilla:vf,cemptyv:Tf,cent:yf,centerdot:Cf,CenterDot:Rf,cfr:Of,Cfr:Nf,CHcy:Af,chcy:If,check:Df,checkmark:xf,Chi:wf,chi:Mf,circ:Lf,circeq:kf,circlearrowleft:Pf,circlearrowright:Bf,circledast:Ff,circledcirc:Uf,circleddash:Gf,CircleDot:qf,circledR:Yf,circledS:zf,CircleMinus:$f,CirclePlus:Hf,CircleTimes:Vf,cir:Wf,cirE:Kf,cire:Qf,cirfnint:jf,cirmid:Xf,cirscir:Zf,ClockwiseContourIntegral:Jf,CloseCurlyDoubleQuote:e0,CloseCurlyQuote:t0,clubs:n0,clubsuit:r0,colon:i0,Colon:a0,Colone:o0,colone:s0,coloneq:l0,comma:c0,commat:u0,comp:d0,compfn:_0,complement:p0,complexes:m0,cong:f0,congdot:g0,Congruent:h0,conint:E0,Conint:S0,ContourIntegral:b0,copf:v0,Copf:T0,coprod:y0,Coproduct:C0,copy:R0,COPY:O0,copysr:N0,CounterClockwiseContourIntegral:A0,crarr:I0,cross:D0,Cross:x0,Cscr:w0,cscr:M0,csub:L0,csube:k0,csup:P0,csupe:B0,ctdot:F0,cudarrl:U0,cudarrr:G0,cuepr:q0,cuesc:Y0,cularr:z0,cularrp:$0,cupbrcap:H0,cupcap:V0,CupCap:W0,cup:K0,Cup:Q0,cupcup:j0,cupdot:X0,cupor:Z0,cups:J0,curarr:eg,curarrm:tg,curlyeqprec:ng,curlyeqsucc:rg,curlyvee:ig,curlywedge:ag,curren:og,curvearrowleft:sg,curvearrowright:lg,cuvee:cg,cuwed:ug,cwconint:dg,cwint:_g,cylcty:pg,dagger:mg,Dagger:fg,daleth:gg,darr:hg,Darr:Eg,dArr:Sg,dash:bg,Dashv:vg,dashv:Tg,dbkarow:yg,dblac:Cg,Dcaron:Rg,dcaron:Og,Dcy:Ng,dcy:Ag,ddagger:Ig,ddarr:Dg,DD:xg,dd:wg,DDotrahd:Mg,ddotseq:Lg,deg:kg,Del:Pg,Delta:Bg,delta:Fg,demptyv:Ug,dfisht:Gg,Dfr:qg,dfr:Yg,dHar:zg,dharl:$g,dharr:Hg,DiacriticalAcute:Vg,DiacriticalDot:Wg,DiacriticalDoubleAcute:Kg,DiacriticalGrave:Qg,DiacriticalTilde:jg,diam:Xg,diamond:Zg,Diamond:Jg,diamondsuit:eh,diams:th,die:nh,DifferentialD:rh,digamma:ih,disin:ah,div:oh,divide:sh,divideontimes:lh,divonx:ch,DJcy:uh,djcy:dh,dlcorn:_h,dlcrop:ph,dollar:mh,Dopf:fh,dopf:gh,Dot:hh,dot:Eh,DotDot:Sh,doteq:bh,doteqdot:vh,DotEqual:Th,dotminus:yh,dotplus:Ch,dotsquare:Rh,doublebarwedge:Oh,DoubleContourIntegral:Nh,DoubleDot:Ah,DoubleDownArrow:Ih,DoubleLeftArrow:Dh,DoubleLeftRightArrow:xh,DoubleLeftTee:wh,DoubleLongLeftArrow:Mh,DoubleLongLeftRightArrow:Lh,DoubleLongRightArrow:kh,DoubleRightArrow:Ph,DoubleRightTee:Bh,DoubleUpArrow:Fh,DoubleUpDownArrow:Uh,DoubleVerticalBar:Gh,DownArrowBar:qh,downarrow:Yh,DownArrow:zh,Downarrow:$h,DownArrowUpArrow:Hh,DownBreve:Vh,downdownarrows:Wh,downharpoonleft:Kh,downharpoonright:Qh,DownLeftRightVector:jh,DownLeftTeeVector:Xh,DownLeftVectorBar:Zh,DownLeftVector:Jh,DownRightTeeVector:eE,DownRightVectorBar:tE,DownRightVector:nE,DownTeeArrow:rE,DownTee:iE,drbkarow:aE,drcorn:oE,drcrop:sE,Dscr:lE,dscr:cE,DScy:uE,dscy:dE,dsol:_E,Dstrok:pE,dstrok:mE,dtdot:fE,dtri:gE,dtrif:hE,duarr:EE,duhar:SE,dwangle:bE,DZcy:vE,dzcy:TE,dzigrarr:yE,Eacute:CE,eacute:RE,easter:OE,Ecaron:NE,ecaron:AE,Ecirc:IE,ecirc:DE,ecir:xE,ecolon:wE,Ecy:ME,ecy:LE,eDDot:kE,Edot:PE,edot:BE,eDot:FE,ee:UE,efDot:GE,Efr:qE,efr:YE,eg:zE,Egrave:$E,egrave:HE,egs:VE,egsdot:WE,el:KE,Element:QE,elinters:jE,ell:XE,els:ZE,elsdot:JE,Emacr:eS,emacr:tS,empty:nS,emptyset:rS,EmptySmallSquare:iS,emptyv:aS,EmptyVerySmallSquare:oS,emsp13:sS,emsp14:lS,emsp:cS,ENG:uS,eng:dS,ensp:_S,Eogon:pS,eogon:mS,Eopf:fS,eopf:gS,epar:hS,eparsl:ES,eplus:SS,epsi:bS,Epsilon:vS,epsilon:TS,epsiv:yS,eqcirc:CS,eqcolon:RS,eqsim:OS,eqslantgtr:NS,eqslantless:AS,Equal:IS,equals:DS,EqualTilde:xS,equest:wS,Equilibrium:MS,equiv:LS,equivDD:kS,eqvparsl:PS,erarr:BS,erDot:FS,escr:US,Escr:GS,esdot:qS,Esim:YS,esim:zS,Eta:$S,eta:HS,ETH:VS,eth:WS,Euml:KS,euml:QS,euro:jS,excl:XS,exist:ZS,Exists:JS,expectation:eb,exponentiale:tb,ExponentialE:nb,fallingdotseq:rb,Fcy:ib,fcy:ab,female:ob,ffilig:sb,fflig:lb,ffllig:cb,Ffr:ub,ffr:db,filig:_b,FilledSmallSquare:pb,FilledVerySmallSquare:mb,fjlig:fb,flat:gb,fllig:hb,fltns:Eb,fnof:Sb,Fopf:bb,fopf:vb,forall:Tb,ForAll:yb,fork:Cb,forkv:Rb,Fouriertrf:Ob,fpartint:Nb,frac12:Ab,frac13:Ib,frac14:Db,frac15:xb,frac16:wb,frac18:Mb,frac23:Lb,frac25:kb,frac34:Pb,frac35:Bb,frac38:Fb,frac45:Ub,frac56:Gb,frac58:qb,frac78:Yb,frasl:zb,frown:$b,fscr:Hb,Fscr:Vb,gacute:Wb,Gamma:Kb,gamma:Qb,Gammad:jb,gammad:Xb,gap:Zb,Gbreve:Jb,gbreve:ev,Gcedil:tv,Gcirc:nv,gcirc:rv,Gcy:iv,gcy:av,Gdot:ov,gdot:sv,ge:lv,gE:cv,gEl:uv,gel:dv,geq:_v,geqq:pv,geqslant:mv,gescc:fv,ges:gv,gesdot:hv,gesdoto:Ev,gesdotol:Sv,gesl:bv,gesles:vv,Gfr:Tv,gfr:yv,gg:Cv,Gg:Rv,ggg:Ov,gimel:Nv,GJcy:Av,gjcy:Iv,gla:Dv,gl:xv,glE:wv,glj:Mv,gnap:Lv,gnapprox:kv,gne:Pv,gnE:Bv,gneq:Fv,gneqq:Uv,gnsim:Gv,Gopf:qv,gopf:Yv,grave:zv,GreaterEqual:$v,GreaterEqualLess:Hv,GreaterFullEqual:Vv,GreaterGreater:Wv,GreaterLess:Kv,GreaterSlantEqual:Qv,GreaterTilde:jv,Gscr:Xv,gscr:Zv,gsim:Jv,gsime:eT,gsiml:tT,gtcc:nT,gtcir:rT,gt:iT,GT:aT,Gt:oT,gtdot:sT,gtlPar:lT,gtquest:cT,gtrapprox:uT,gtrarr:dT,gtrdot:_T,gtreqless:pT,gtreqqless:mT,gtrless:fT,gtrsim:gT,gvertneqq:hT,gvnE:ET,Hacek:ST,hairsp:bT,half:vT,hamilt:TT,HARDcy:yT,hardcy:CT,harrcir:RT,harr:OT,hArr:NT,harrw:AT,Hat:IT,hbar:DT,Hcirc:xT,hcirc:wT,hearts:MT,heartsuit:LT,hellip:kT,hercon:PT,hfr:BT,Hfr:FT,HilbertSpace:UT,hksearow:GT,hkswarow:qT,hoarr:YT,homtht:zT,hookleftarrow:$T,hookrightarrow:HT,hopf:VT,Hopf:WT,horbar:KT,HorizontalLine:QT,hscr:jT,Hscr:XT,hslash:ZT,Hstrok:JT,hstrok:ey,HumpDownHump:ty,HumpEqual:ny,hybull:ry,hyphen:iy,Iacute:ay,iacute:oy,ic:sy,Icirc:ly,icirc:cy,Icy:uy,icy:dy,Idot:_y,IEcy:py,iecy:my,iexcl:fy,iff:gy,ifr:hy,Ifr:Ey,Igrave:Sy,igrave:by,ii:vy,iiiint:Ty,iiint:yy,iinfin:Cy,iiota:Ry,IJlig:Oy,ijlig:Ny,Imacr:Ay,imacr:Iy,image:Dy,ImaginaryI:xy,imagline:wy,imagpart:My,imath:Ly,Im:ky,imof:Py,imped:By,Implies:Fy,incare:Uy,in:"\u2208",infin:Gy,infintie:qy,inodot:Yy,intcal:zy,int:$y,Int:Hy,integers:Vy,Integral:Wy,intercal:Ky,Intersection:Qy,intlarhk:jy,intprod:Xy,InvisibleComma:Zy,InvisibleTimes:Jy,IOcy:e1,iocy:t1,Iogon:n1,iogon:r1,Iopf:i1,iopf:a1,Iota:o1,iota:s1,iprod:l1,iquest:c1,iscr:u1,Iscr:d1,isin:_1,isindot:p1,isinE:m1,isins:f1,isinsv:g1,isinv:h1,it:E1,Itilde:S1,itilde:b1,Iukcy:v1,iukcy:T1,Iuml:y1,iuml:C1,Jcirc:R1,jcirc:O1,Jcy:N1,jcy:A1,Jfr:I1,jfr:D1,jmath:x1,Jopf:w1,jopf:M1,Jscr:L1,jscr:k1,Jsercy:P1,jsercy:B1,Jukcy:F1,jukcy:U1,Kappa:G1,kappa:q1,kappav:Y1,Kcedil:z1,kcedil:$1,Kcy:H1,kcy:V1,Kfr:W1,kfr:K1,kgreen:Q1,KHcy:j1,khcy:X1,KJcy:Z1,kjcy:J1,Kopf:eC,kopf:tC,Kscr:nC,kscr:rC,lAarr:iC,Lacute:aC,lacute:oC,laemptyv:sC,lagran:lC,Lambda:cC,lambda:uC,lang:dC,Lang:_C,langd:pC,langle:mC,lap:fC,Laplacetrf:gC,laquo:hC,larrb:EC,larrbfs:SC,larr:bC,Larr:vC,lArr:TC,larrfs:yC,larrhk:CC,larrlp:RC,larrpl:OC,larrsim:NC,larrtl:AC,latail:IC,lAtail:DC,lat:xC,late:wC,lates:MC,lbarr:LC,lBarr:kC,lbbrk:PC,lbrace:BC,lbrack:FC,lbrke:UC,lbrksld:GC,lbrkslu:qC,Lcaron:YC,lcaron:zC,Lcedil:$C,lcedil:HC,lceil:VC,lcub:WC,Lcy:KC,lcy:QC,ldca:jC,ldquo:XC,ldquor:ZC,ldrdhar:JC,ldrushar:eR,ldsh:tR,le:nR,lE:rR,LeftAngleBracket:iR,LeftArrowBar:aR,leftarrow:oR,LeftArrow:sR,Leftarrow:lR,LeftArrowRightArrow:cR,leftarrowtail:uR,LeftCeiling:dR,LeftDoubleBracket:_R,LeftDownTeeVector:pR,LeftDownVectorBar:mR,LeftDownVector:fR,LeftFloor:gR,leftharpoondown:hR,leftharpoonup:ER,leftleftarrows:SR,leftrightarrow:bR,LeftRightArrow:vR,Leftrightarrow:TR,leftrightarrows:yR,leftrightharpoons:CR,leftrightsquigarrow:RR,LeftRightVector:OR,LeftTeeArrow:NR,LeftTee:AR,LeftTeeVector:IR,leftthreetimes:DR,LeftTriangleBar:xR,LeftTriangle:wR,LeftTriangleEqual:MR,LeftUpDownVector:LR,LeftUpTeeVector:kR,LeftUpVectorBar:PR,LeftUpVector:BR,LeftVectorBar:FR,LeftVector:UR,lEg:GR,leg:qR,leq:YR,leqq:zR,leqslant:$R,lescc:HR,les:VR,lesdot:WR,lesdoto:KR,lesdotor:QR,lesg:jR,lesges:XR,lessapprox:ZR,lessdot:JR,lesseqgtr:eO,lesseqqgtr:tO,LessEqualGreater:nO,LessFullEqual:rO,LessGreater:iO,lessgtr:aO,LessLess:oO,lesssim:sO,LessSlantEqual:lO,LessTilde:cO,lfisht:uO,lfloor:dO,Lfr:_O,lfr:pO,lg:mO,lgE:fO,lHar:gO,lhard:hO,lharu:EO,lharul:SO,lhblk:bO,LJcy:vO,ljcy:TO,llarr:yO,ll:CO,Ll:RO,llcorner:OO,Lleftarrow:NO,llhard:AO,lltri:IO,Lmidot:DO,lmidot:xO,lmoustache:wO,lmoust:MO,lnap:LO,lnapprox:kO,lne:PO,lnE:BO,lneq:FO,lneqq:UO,lnsim:GO,loang:qO,loarr:YO,lobrk:zO,longleftarrow:$O,LongLeftArrow:HO,Longleftarrow:VO,longleftrightarrow:WO,LongLeftRightArrow:KO,Longleftrightarrow:QO,longmapsto:jO,longrightarrow:XO,LongRightArrow:ZO,Longrightarrow:JO,looparrowleft:eN,looparrowright:tN,lopar:nN,Lopf:rN,lopf:iN,loplus:aN,lotimes:oN,lowast:sN,lowbar:lN,LowerLeftArrow:cN,LowerRightArrow:uN,loz:dN,lozenge:_N,lozf:pN,lpar:mN,lparlt:fN,lrarr:gN,lrcorner:hN,lrhar:EN,lrhard:SN,lrm:bN,lrtri:vN,lsaquo:TN,lscr:yN,Lscr:CN,lsh:RN,Lsh:ON,lsim:NN,lsime:AN,lsimg:IN,lsqb:DN,lsquo:xN,lsquor:wN,Lstrok:MN,lstrok:LN,ltcc:kN,ltcir:PN,lt:BN,LT:FN,Lt:UN,ltdot:GN,lthree:qN,ltimes:YN,ltlarr:zN,ltquest:$N,ltri:HN,ltrie:VN,ltrif:WN,ltrPar:KN,lurdshar:QN,luruhar:jN,lvertneqq:XN,lvnE:ZN,macr:JN,male:eA,malt:tA,maltese:nA,Map:"\u2905",map:rA,mapsto:iA,mapstodown:aA,mapstoleft:oA,mapstoup:sA,marker:lA,mcomma:cA,Mcy:uA,mcy:dA,mdash:_A,mDDot:pA,measuredangle:mA,MediumSpace:fA,Mellintrf:gA,Mfr:hA,mfr:EA,mho:SA,micro:bA,midast:vA,midcir:TA,mid:yA,middot:CA,minusb:RA,minus:OA,minusd:NA,minusdu:AA,MinusPlus:IA,mlcp:DA,mldr:xA,mnplus:wA,models:MA,Mopf:LA,mopf:kA,mp:PA,mscr:BA,Mscr:FA,mstpos:UA,Mu:GA,mu:qA,multimap:YA,mumap:zA,nabla:HA,Nacute:VA,nacute:WA,nang:KA,nap:QA,napE:jA,napid:XA,napos:ZA,napprox:JA,natural:eI,naturals:tI,natur:nI,nbsp:rI,nbump:iI,nbumpe:aI,ncap:oI,Ncaron:sI,ncaron:lI,Ncedil:cI,ncedil:uI,ncong:dI,ncongdot:_I,ncup:pI,Ncy:mI,ncy:fI,ndash:gI,nearhk:hI,nearr:EI,neArr:SI,nearrow:bI,ne:vI,nedot:TI,NegativeMediumSpace:yI,NegativeThickSpace:CI,NegativeThinSpace:RI,NegativeVeryThinSpace:OI,nequiv:NI,nesear:AI,nesim:II,NestedGreaterGreater:DI,NestedLessLess:xI,NewLine:wI,nexist:MI,nexists:LI,Nfr:kI,nfr:PI,ngE:BI,nge:FI,ngeq:UI,ngeqq:GI,ngeqslant:qI,nges:YI,nGg:zI,ngsim:$I,nGt:HI,ngt:VI,ngtr:WI,nGtv:KI,nharr:QI,nhArr:jI,nhpar:XI,ni:ZI,nis:JI,nisd:eD,niv:tD,NJcy:nD,njcy:rD,nlarr:iD,nlArr:aD,nldr:oD,nlE:sD,nle:lD,nleftarrow:cD,nLeftarrow:uD,nleftrightarrow:dD,nLeftrightarrow:_D,nleq:pD,nleqq:mD,nleqslant:fD,nles:gD,nless:hD,nLl:ED,nlsim:SD,nLt:bD,nlt:vD,nltri:TD,nltrie:yD,nLtv:CD,nmid:RD,NoBreak:OD,NonBreakingSpace:ND,nopf:AD,Nopf:ID,Not:DD,not:xD,NotCongruent:wD,NotCupCap:MD,NotDoubleVerticalBar:LD,NotElement:kD,NotEqual:PD,NotEqualTilde:BD,NotExists:FD,NotGreater:UD,NotGreaterEqual:GD,NotGreaterFullEqual:qD,NotGreaterGreater:YD,NotGreaterLess:zD,NotGreaterSlantEqual:$D,NotGreaterTilde:HD,NotHumpDownHump:VD,NotHumpEqual:WD,notin:KD,notindot:QD,notinE:jD,notinva:XD,notinvb:ZD,notinvc:JD,NotLeftTriangleBar:ex,NotLeftTriangle:tx,NotLeftTriangleEqual:nx,NotLess:rx,NotLessEqual:ix,NotLessGreater:ax,NotLessLess:ox,NotLessSlantEqual:sx,NotLessTilde:lx,NotNestedGreaterGreater:cx,NotNestedLessLess:ux,notni:dx,notniva:_x,notnivb:px,notnivc:mx,NotPrecedes:fx,NotPrecedesEqual:gx,NotPrecedesSlantEqual:hx,NotReverseElement:Ex,NotRightTriangleBar:Sx,NotRightTriangle:bx,NotRightTriangleEqual:vx,NotSquareSubset:Tx,NotSquareSubsetEqual:yx,NotSquareSuperset:Cx,NotSquareSupersetEqual:Rx,NotSubset:Ox,NotSubsetEqual:Nx,NotSucceeds:Ax,NotSucceedsEqual:Ix,NotSucceedsSlantEqual:Dx,NotSucceedsTilde:xx,NotSuperset:wx,NotSupersetEqual:Mx,NotTilde:Lx,NotTildeEqual:kx,NotTildeFullEqual:Px,NotTildeTilde:Bx,NotVerticalBar:Fx,nparallel:Ux,npar:Gx,nparsl:qx,npart:Yx,npolint:zx,npr:$x,nprcue:Hx,nprec:Vx,npreceq:Wx,npre:Kx,nrarrc:Qx,nrarr:jx,nrArr:Xx,nrarrw:Zx,nrightarrow:Jx,nRightarrow:ew,nrtri:tw,nrtrie:nw,nsc:rw,nsccue:iw,nsce:aw,Nscr:ow,nscr:sw,nshortmid:lw,nshortparallel:cw,nsim:uw,nsime:dw,nsimeq:_w,nsmid:pw,nspar:mw,nsqsube:fw,nsqsupe:gw,nsub:hw,nsubE:Ew,nsube:Sw,nsubset:bw,nsubseteq:vw,nsubseteqq:Tw,nsucc:yw,nsucceq:Cw,nsup:Rw,nsupE:Ow,nsupe:Nw,nsupset:Aw,nsupseteq:Iw,nsupseteqq:Dw,ntgl:xw,Ntilde:ww,ntilde:Mw,ntlg:Lw,ntriangleleft:kw,ntrianglelefteq:Pw,ntriangleright:Bw,ntrianglerighteq:Fw,Nu:Uw,nu:Gw,num:qw,numero:Yw,numsp:zw,nvap:$w,nvdash:Hw,nvDash:Vw,nVdash:Ww,nVDash:Kw,nvge:Qw,nvgt:jw,nvHarr:Xw,nvinfin:Zw,nvlArr:Jw,nvle:e2,nvlt:t2,nvltrie:n2,nvrArr:r2,nvrtrie:i2,nvsim:a2,nwarhk:o2,nwarr:s2,nwArr:l2,nwarrow:c2,nwnear:u2,Oacute:d2,oacute:_2,oast:p2,Ocirc:m2,ocirc:f2,ocir:g2,Ocy:h2,ocy:E2,odash:S2,Odblac:b2,odblac:v2,odiv:T2,odot:y2,odsold:C2,OElig:R2,oelig:O2,ofcir:N2,Ofr:A2,ofr:I2,ogon:D2,Ograve:x2,ograve:w2,ogt:M2,ohbar:L2,ohm:k2,oint:P2,olarr:B2,olcir:F2,olcross:U2,oline:G2,olt:q2,Omacr:Y2,omacr:z2,Omega:$2,omega:H2,Omicron:V2,omicron:W2,omid:K2,ominus:Q2,Oopf:j2,oopf:X2,opar:Z2,OpenCurlyDoubleQuote:J2,OpenCurlyQuote:eM,operp:tM,oplus:nM,orarr:rM,Or:iM,or:aM,ord:oM,order:sM,orderof:lM,ordf:cM,ordm:uM,origof:dM,oror:_M,orslope:pM,orv:mM,oS:fM,Oscr:gM,oscr:hM,Oslash:EM,oslash:SM,osol:bM,Otilde:vM,otilde:TM,otimesas:yM,Otimes:CM,otimes:RM,Ouml:OM,ouml:NM,ovbar:AM,OverBar:IM,OverBrace:DM,OverBracket:xM,OverParenthesis:wM,para:MM,parallel:LM,par:kM,parsim:PM,parsl:BM,part:FM,PartialD:UM,Pcy:GM,pcy:qM,percnt:YM,period:zM,permil:$M,perp:HM,pertenk:VM,Pfr:WM,pfr:KM,Phi:QM,phi:jM,phiv:XM,phmmat:ZM,phone:JM,Pi:e4,pi:t4,pitchfork:n4,piv:r4,planck:i4,planckh:a4,plankv:o4,plusacir:s4,plusb:l4,pluscir:c4,plus:u4,plusdo:d4,plusdu:_4,pluse:p4,PlusMinus:m4,plusmn:f4,plussim:g4,plustwo:h4,pm:E4,Poincareplane:S4,pointint:b4,popf:v4,Popf:T4,pound:y4,prap:C4,Pr:R4,pr:O4,prcue:N4,precapprox:A4,prec:I4,preccurlyeq:D4,Precedes:x4,PrecedesEqual:w4,PrecedesSlantEqual:M4,PrecedesTilde:L4,preceq:k4,precnapprox:P4,precneqq:B4,precnsim:F4,pre:U4,prE:G4,precsim:q4,prime:Y4,Prime:z4,primes:$4,prnap:H4,prnE:V4,prnsim:W4,prod:K4,Product:Q4,profalar:j4,profline:X4,profsurf:Z4,prop:J4,Proportional:eL,Proportion:tL,propto:nL,prsim:rL,prurel:iL,Pscr:aL,pscr:oL,Psi:sL,psi:lL,puncsp:cL,Qfr:uL,qfr:dL,qint:_L,qopf:pL,Qopf:mL,qprime:fL,Qscr:gL,qscr:hL,quaternions:EL,quatint:SL,quest:bL,questeq:vL,quot:TL,QUOT:yL,rAarr:CL,race:RL,Racute:OL,racute:NL,radic:AL,raemptyv:IL,rang:DL,Rang:xL,rangd:wL,range:ML,rangle:LL,raquo:kL,rarrap:PL,rarrb:BL,rarrbfs:FL,rarrc:UL,rarr:GL,Rarr:qL,rArr:YL,rarrfs:zL,rarrhk:$L,rarrlp:HL,rarrpl:VL,rarrsim:WL,Rarrtl:KL,rarrtl:QL,rarrw:jL,ratail:XL,rAtail:ZL,ratio:JL,rationals:ek,rbarr:tk,rBarr:nk,RBarr:rk,rbbrk:ik,rbrace:ak,rbrack:ok,rbrke:sk,rbrksld:lk,rbrkslu:ck,Rcaron:uk,rcaron:dk,Rcedil:_k,rcedil:pk,rceil:mk,rcub:fk,Rcy:gk,rcy:hk,rdca:Ek,rdldhar:Sk,rdquo:bk,rdquor:vk,rdsh:Tk,real:yk,realine:Ck,realpart:Rk,reals:Ok,Re:Nk,rect:Ak,reg:Ik,REG:Dk,ReverseElement:xk,ReverseEquilibrium:wk,ReverseUpEquilibrium:Mk,rfisht:Lk,rfloor:kk,rfr:Pk,Rfr:Bk,rHar:Fk,rhard:Uk,rharu:Gk,rharul:qk,Rho:Yk,rho:zk,rhov:$k,RightAngleBracket:Hk,RightArrowBar:Vk,rightarrow:Wk,RightArrow:Kk,Rightarrow:Qk,RightArrowLeftArrow:jk,rightarrowtail:Xk,RightCeiling:Zk,RightDoubleBracket:Jk,RightDownTeeVector:e3,RightDownVectorBar:t3,RightDownVector:n3,RightFloor:r3,rightharpoondown:i3,rightharpoonup:a3,rightleftarrows:o3,rightleftharpoons:s3,rightrightarrows:l3,rightsquigarrow:c3,RightTeeArrow:u3,RightTee:d3,RightTeeVector:_3,rightthreetimes:p3,RightTriangleBar:m3,RightTriangle:f3,RightTriangleEqual:g3,RightUpDownVector:h3,RightUpTeeVector:E3,RightUpVectorBar:S3,RightUpVector:b3,RightVectorBar:v3,RightVector:T3,ring:y3,risingdotseq:C3,rlarr:R3,rlhar:O3,rlm:N3,rmoustache:A3,rmoust:I3,rnmid:D3,roang:x3,roarr:w3,robrk:M3,ropar:L3,ropf:k3,Ropf:P3,roplus:B3,rotimes:F3,RoundImplies:U3,rpar:G3,rpargt:q3,rppolint:Y3,rrarr:z3,Rrightarrow:$3,rsaquo:H3,rscr:V3,Rscr:W3,rsh:K3,Rsh:Q3,rsqb:j3,rsquo:X3,rsquor:Z3,rthree:J3,rtimes:e5,rtri:t5,rtrie:n5,rtrif:r5,rtriltri:i5,RuleDelayed:a5,ruluhar:o5,rx:s5,Sacute:l5,sacute:c5,sbquo:u5,scap:d5,Scaron:_5,scaron:p5,Sc:m5,sc:f5,sccue:g5,sce:h5,scE:E5,Scedil:S5,scedil:b5,Scirc:v5,scirc:T5,scnap:y5,scnE:C5,scnsim:R5,scpolint:O5,scsim:N5,Scy:A5,scy:I5,sdotb:D5,sdot:x5,sdote:w5,searhk:M5,searr:L5,seArr:k5,searrow:P5,sect:B5,semi:F5,seswar:U5,setminus:G5,setmn:q5,sext:Y5,Sfr:z5,sfr:$5,sfrown:H5,sharp:V5,SHCHcy:W5,shchcy:K5,SHcy:Q5,shcy:j5,ShortDownArrow:X5,ShortLeftArrow:Z5,shortmid:J5,shortparallel:eP,ShortRightArrow:tP,ShortUpArrow:nP,shy:rP,Sigma:iP,sigma:aP,sigmaf:oP,sigmav:sP,sim:lP,simdot:cP,sime:uP,simeq:dP,simg:_P,simgE:pP,siml:mP,simlE:fP,simne:gP,simplus:hP,simrarr:EP,slarr:SP,SmallCircle:bP,smallsetminus:vP,smashp:TP,smeparsl:yP,smid:CP,smile:RP,smt:OP,smte:NP,smtes:AP,SOFTcy:IP,softcy:DP,solbar:xP,solb:wP,sol:MP,Sopf:LP,sopf:kP,spades:PP,spadesuit:BP,spar:FP,sqcap:UP,sqcaps:GP,sqcup:qP,sqcups:YP,Sqrt:zP,sqsub:$P,sqsube:HP,sqsubset:VP,sqsubseteq:WP,sqsup:KP,sqsupe:QP,sqsupset:jP,sqsupseteq:XP,square:ZP,Square:JP,SquareIntersection:e6,SquareSubset:t6,SquareSubsetEqual:n6,SquareSuperset:r6,SquareSupersetEqual:i6,SquareUnion:a6,squarf:o6,squ:s6,squf:l6,srarr:c6,Sscr:u6,sscr:d6,ssetmn:_6,ssmile:p6,sstarf:m6,Star:f6,star:g6,starf:h6,straightepsilon:E6,straightphi:S6,strns:b6,sub:v6,Sub:T6,subdot:y6,subE:C6,sube:R6,subedot:O6,submult:N6,subnE:A6,subne:I6,subplus:D6,subrarr:x6,subset:w6,Subset:M6,subseteq:L6,subseteqq:k6,SubsetEqual:P6,subsetneq:B6,subsetneqq:F6,subsim:U6,subsub:G6,subsup:q6,succapprox:Y6,succ:z6,succcurlyeq:$6,Succeeds:H6,SucceedsEqual:V6,SucceedsSlantEqual:W6,SucceedsTilde:K6,succeq:Q6,succnapprox:j6,succneqq:X6,succnsim:Z6,succsim:J6,SuchThat:e7,sum:t7,Sum:n7,sung:r7,sup1:i7,sup2:a7,sup3:o7,sup:s7,Sup:l7,supdot:c7,supdsub:u7,supE:d7,supe:_7,supedot:p7,Superset:m7,SupersetEqual:f7,suphsol:g7,suphsub:h7,suplarr:E7,supmult:S7,supnE:b7,supne:v7,supplus:T7,supset:y7,Supset:C7,supseteq:R7,supseteqq:O7,supsetneq:N7,supsetneqq:A7,supsim:I7,supsub:D7,supsup:x7,swarhk:w7,swarr:M7,swArr:L7,swarrow:k7,swnwar:P7,szlig:B7,Tab:F7,target:U7,Tau:G7,tau:q7,tbrk:Y7,Tcaron:z7,tcaron:$7,Tcedil:H7,tcedil:V7,Tcy:W7,tcy:K7,tdot:Q7,telrec:j7,Tfr:X7,tfr:Z7,there4:J7,therefore:e8,Therefore:t8,Theta:n8,theta:r8,thetasym:i8,thetav:a8,thickapprox:o8,thicksim:s8,ThickSpace:l8,ThinSpace:c8,thinsp:u8,thkap:d8,thksim:_8,THORN:p8,thorn:m8,tilde:f8,Tilde:g8,TildeEqual:h8,TildeFullEqual:E8,TildeTilde:S8,timesbar:b8,timesb:v8,times:T8,timesd:y8,tint:C8,toea:R8,topbot:O8,topcir:N8,top:A8,Topf:I8,topf:D8,topfork:x8,tosa:w8,tprime:M8,trade:L8,TRADE:k8,triangle:P8,triangledown:B8,triangleleft:F8,trianglelefteq:U8,triangleq:G8,triangleright:q8,trianglerighteq:Y8,tridot:z8,trie:$8,triminus:H8,TripleDot:V8,triplus:W8,trisb:K8,tritime:Q8,trpezium:j8,Tscr:X8,tscr:Z8,TScy:J8,tscy:e9,TSHcy:t9,tshcy:n9,Tstrok:r9,tstrok:i9,twixt:a9,twoheadleftarrow:o9,twoheadrightarrow:s9,Uacute:l9,uacute:c9,uarr:u9,Uarr:d9,uArr:_9,Uarrocir:p9,Ubrcy:m9,ubrcy:f9,Ubreve:g9,ubreve:h9,Ucirc:E9,ucirc:S9,Ucy:b9,ucy:v9,udarr:T9,Udblac:y9,udblac:C9,udhar:R9,ufisht:O9,Ufr:N9,ufr:A9,Ugrave:I9,ugrave:D9,uHar:x9,uharl:w9,uharr:M9,uhblk:L9,ulcorn:k9,ulcorner:P9,ulcrop:B9,ultri:F9,Umacr:U9,umacr:G9,uml:q9,UnderBar:Y9,UnderBrace:z9,UnderBracket:$9,UnderParenthesis:H9,Union:V9,UnionPlus:W9,Uogon:K9,uogon:Q9,Uopf:j9,uopf:X9,UpArrowBar:Z9,uparrow:J9,UpArrow:eB,Uparrow:tB,UpArrowDownArrow:nB,updownarrow:rB,UpDownArrow:iB,Updownarrow:aB,UpEquilibrium:oB,upharpoonleft:sB,upharpoonright:lB,uplus:cB,UpperLeftArrow:uB,UpperRightArrow:dB,upsi:_B,Upsi:pB,upsih:mB,Upsilon:fB,upsilon:gB,UpTeeArrow:hB,UpTee:EB,upuparrows:SB,urcorn:bB,urcorner:vB,urcrop:TB,Uring:yB,uring:CB,urtri:RB,Uscr:OB,uscr:NB,utdot:AB,Utilde:IB,utilde:DB,utri:xB,utrif:wB,uuarr:MB,Uuml:LB,uuml:kB,uwangle:PB,vangrt:BB,varepsilon:FB,varkappa:UB,varnothing:GB,varphi:qB,varpi:YB,varpropto:zB,varr:$B,vArr:HB,varrho:VB,varsigma:WB,varsubsetneq:KB,varsubsetneqq:QB,varsupsetneq:jB,varsupsetneqq:XB,vartheta:ZB,vartriangleleft:JB,vartriangleright:eF,vBar:tF,Vbar:nF,vBarv:rF,Vcy:iF,vcy:aF,vdash:oF,vDash:sF,Vdash:lF,VDash:cF,Vdashl:uF,veebar:dF,vee:_F,Vee:pF,veeeq:mF,vellip:fF,verbar:gF,Verbar:hF,vert:EF,Vert:SF,VerticalBar:bF,VerticalLine:vF,VerticalSeparator:TF,VerticalTilde:yF,VeryThinSpace:CF,Vfr:RF,vfr:OF,vltri:NF,vnsub:AF,vnsup:IF,Vopf:DF,vopf:xF,vprop:wF,vrtri:MF,Vscr:LF,vscr:kF,vsubnE:PF,vsubne:BF,vsupnE:FF,vsupne:UF,Vvdash:GF,vzigzag:qF,Wcirc:YF,wcirc:zF,wedbar:$F,wedge:HF,Wedge:VF,wedgeq:WF,weierp:KF,Wfr:QF,wfr:jF,Wopf:XF,wopf:ZF,wp:JF,wr:eU,wreath:tU,Wscr:nU,wscr:rU,xcap:iU,xcirc:aU,xcup:oU,xdtri:sU,Xfr:lU,xfr:cU,xharr:uU,xhArr:dU,Xi:_U,xi:pU,xlarr:mU,xlArr:fU,xmap:gU,xnis:hU,xodot:EU,Xopf:SU,xopf:bU,xoplus:vU,xotime:TU,xrarr:yU,xrArr:CU,Xscr:RU,xscr:OU,xsqcup:NU,xuplus:AU,xutri:IU,xvee:DU,xwedge:xU,Yacute:wU,yacute:MU,YAcy:LU,yacy:kU,Ycirc:PU,ycirc:BU,Ycy:FU,ycy:UU,yen:GU,Yfr:qU,yfr:YU,YIcy:zU,yicy:$U,Yopf:HU,yopf:VU,Yscr:WU,yscr:KU,YUcy:QU,yucy:jU,yuml:XU,Yuml:ZU,Zacute:JU,zacute:eG,Zcaron:tG,zcaron:nG,Zcy:rG,zcy:iG,Zdot:aG,zdot:oG,zeetrf:sG,ZeroWidthSpace:lG,Zeta:cG,zeta:uG,zfr:dG,Zfr:_G,ZHcy:pG,zhcy:mG,zigrarr:fG,zopf:gG,Zopf:hG,Zscr:EG,zscr:SG,zwj:bG,zwnj:vG},Ol=TG,$a=/[!-#%-\*,-\/:;\?@\[-\]_\{\}\xA1\xA7\xAB\xB6\xB7\xBB\xBF\u037E\u0387\u055A-\u055F\u0589\u058A\u05BE\u05C0\u05C3\u05C6\u05F3\u05F4\u0609\u060A\u060C\u060D\u061B\u061E\u061F\u066A-\u066D\u06D4\u0700-\u070D\u07F7-\u07F9\u0830-\u083E\u085E\u0964\u0965\u0970\u09FD\u0A76\u0AF0\u0C84\u0DF4\u0E4F\u0E5A\u0E5B\u0F04-\u0F12\u0F14\u0F3A-\u0F3D\u0F85\u0FD0-\u0FD4\u0FD9\u0FDA\u104A-\u104F\u10FB\u1360-\u1368\u1400\u166D\u166E\u169B\u169C\u16EB-\u16ED\u1735\u1736\u17D4-\u17D6\u17D8-\u17DA\u1800-\u180A\u1944\u1945\u1A1E\u1A1F\u1AA0-\u1AA6\u1AA8-\u1AAD\u1B5A-\u1B60\u1BFC-\u1BFF\u1C3B-\u1C3F\u1C7E\u1C7F\u1CC0-\u1CC7\u1CD3\u2010-\u2027\u2030-\u2043\u2045-\u2051\u2053-\u205E\u207D\u207E\u208D\u208E\u2308-\u230B\u2329\u232A\u2768-\u2775\u27C5\u27C6\u27E6-\u27EF\u2983-\u2998\u29D8-\u29DB\u29FC\u29FD\u2CF9-\u2CFC\u2CFE\u2CFF\u2D70\u2E00-\u2E2E\u2E30-\u2E4E\u3001-\u3003\u3008-\u3011\u3014-\u301F\u3030\u303D\u30A0\u30FB\uA4FE\uA4FF\uA60D-\uA60F\uA673\uA67E\uA6F2-\uA6F7\uA874-\uA877\uA8CE\uA8CF\uA8F8-\uA8FA\uA8FC\uA92E\uA92F\uA95F\uA9C1-\uA9CD\uA9DE\uA9DF\uAA5C-\uAA5F\uAADE\uAADF\uAAF0\uAAF1\uABEB\uFD3E\uFD3F\uFE10-\uFE19\uFE30-\uFE52\uFE54-\uFE61\uFE63\uFE68\uFE6A\uFE6B\uFF01-\uFF03\uFF05-\uFF0A\uFF0C-\uFF0F\uFF1A\uFF1B\uFF1F\uFF20\uFF3B-\uFF3D\uFF3F\uFF5B\uFF5D\uFF5F-\uFF65]|\uD800[\uDD00-\uDD02\uDF9F\uDFD0]|\uD801\uDD6F|\uD802[\uDC57\uDD1F\uDD3F\uDE50-\uDE58\uDE7F\uDEF0-\uDEF6\uDF39-\uDF3F\uDF99-\uDF9C]|\uD803[\uDF55-\uDF59]|\uD804[\uDC47-\uDC4D\uDCBB\uDCBC\uDCBE-\uDCC1\uDD40-\uDD43\uDD74\uDD75\uDDC5-\uDDC8\uDDCD\uDDDB\uDDDD-\uDDDF\uDE38-\uDE3D\uDEA9]|\uD805[\uDC4B-\uDC4F\uDC5B\uDC5D\uDCC6\uDDC1-\uDDD7\uDE41-\uDE43\uDE60-\uDE6C\uDF3C-\uDF3E]|\uD806[\uDC3B\uDE3F-\uDE46\uDE9A-\uDE9C\uDE9E-\uDEA2]|\uD807[\uDC41-\uDC45\uDC70\uDC71\uDEF7\uDEF8]|\uD809[\uDC70-\uDC74]|\uD81A[\uDE6E\uDE6F\uDEF5\uDF37-\uDF3B\uDF44]|\uD81B[\uDE97-\uDE9A]|\uD82F\uDC9F|\uD836[\uDE87-\uDE8B]|\uD83A[\uDD5E\uDD5F]/,Xn={},bs={};function yG(e){var t,n,r=bs[e];if(r)return r;for(r=bs[e]=[],t=0;t<128;t++)n=String.fromCharCode(t),/^[0-9a-z]$/i.test(n)?r.push(n):r.push("%"+("0"+t.toString(16).toUpperCase()).slice(-2));for(t=0;t=55296&&l<=57343){if(l>=55296&&l<=56319&&r+1=56320&&u<=57343)){d+=encodeURIComponent(e[r]+e[r+1]),r++;continue}d+="%EF%BF%BD";continue}d+=encodeURIComponent(e[r])}return d}Si.defaultChars=";/?:@&=+$,-_.!~*'()#";Si.componentChars="-_.!~*'()";var CG=Si,vs={};function RG(e){var t,n,r=vs[e];if(r)return r;for(r=vs[e]=[],t=0;t<128;t++)n=String.fromCharCode(t),r.push(n);for(t=0;t=55296&&f<=57343?h+="\uFFFD\uFFFD\uFFFD":h+=String.fromCharCode(f),a+=6;continue}if((u&248)===240&&a+91114111?h+="\uFFFD\uFFFD\uFFFD\uFFFD":(f-=65536,h+=String.fromCharCode(55296+(f>>10),56320+(f&1023))),a+=9;continue}h+="\uFFFD"}return h})}bi.defaultChars=";/?:@&=+$,#";bi.componentChars="";var OG=bi,NG=function(t){var n="";return n+=t.protocol||"",n+=t.slashes?"//":"",n+=t.auth?t.auth+"@":"",t.hostname&&t.hostname.indexOf(":")!==-1?n+="["+t.hostname+"]":n+=t.hostname||"",n+=t.port?":"+t.port:"",n+=t.pathname||"",n+=t.search||"",n+=t.hash||"",n};function pi(){this.protocol=null,this.slashes=null,this.auth=null,this.port=null,this.hostname=null,this.hash=null,this.search=null,this.pathname=null}var AG=/^([a-z0-9.+-]+:)/i,IG=/:[0-9]*$/,DG=/^(\/\/?(?!\/)[^\?\s]*)(\?[^\s]*)?$/,xG=["<",">",'"',"`"," ","\r",` `," "],wG=["{","}","|","\\","^","`"].concat(xG),MG=["'"].concat(wG),Ts=["%","/","?",";","#"].concat(MG),ys=["/","?","#"],LG=255,Cs=/^[+a-z0-9A-Z_-]{0,63}$/,kG=/^([+a-z0-9A-Z_-]{0,63})(.*)$/,Rs={javascript:!0,"javascript:":!0},Os={http:!0,https:!0,ftp:!0,gopher:!0,file:!0,"http:":!0,"https:":!0,"ftp:":!0,"gopher:":!0,"file:":!0};function PG(e,t){if(e&&e instanceof pi)return e;var n=new pi;return n.parse(e,t),n}pi.prototype.parse=function(e,t){var n,r,a,l,u,c=e;if(c=c.trim(),!t&&e.split("#").length===1){var d=DG.exec(c);if(d)return this.pathname=d[1],d[2]&&(this.search=d[2]),this}var g=AG.exec(c);if(g&&(g=g[0],a=g.toLowerCase(),this.protocol=g,c=c.substr(g.length)),(t||g||c.match(/^\/\/[^@\/]+@[^@\/]+/))&&(u=c.substr(0,2)==="//",u&&!(g&&Rs[g])&&(c=c.substr(2),this.slashes=!0)),!Rs[g]&&(u||g&&!Os[g])){var f=-1;for(n=0;n127?E+="x":E+=C[v];if(!E.match(Cs)){var S=b.slice(0,n),A=b.slice(n+1),P=C.match(kG);P&&(S.push(P[1]),A.unshift(P[2])),A.length&&(c=A.join(".")+c),this.hostname=S.join(".");break}}}}this.hostname.length>LG&&(this.hostname=""),y&&(this.hostname=this.hostname.substr(1,this.hostname.length-2))}var F=c.indexOf("#");F!==-1&&(this.hash=c.substr(F),c=c.slice(0,F));var G=c.indexOf("?");return G!==-1&&(this.search=c.substr(G),c=c.slice(0,G)),c&&(this.pathname=c),Os[a]&&this.hostname&&!this.pathname&&(this.pathname=""),this};pi.prototype.parseHost=function(e){var t=IG.exec(e);t&&(t=t[0],t!==":"&&(this.port=t.substr(1)),e=e.substr(0,e.length-t.length)),e&&(this.hostname=e)};var BG=PG;Xn.encode=CG;Xn.decode=OG;Xn.format=NG;Xn.parse=BG;var Zn={},Nl=/[\0-\uD7FF\uE000-\uFFFF]|[\uD800-\uDBFF][\uDC00-\uDFFF]|[\uD800-\uDBFF](?![\uDC00-\uDFFF])|(?:[^\uD800-\uDBFF]|^)[\uDC00-\uDFFF]/,Al=/[\0-\x1F\x7F-\x9F]/,FG=/[\xAD\u0600-\u0605\u061C\u06DD\u070F\u08E2\u180E\u200B-\u200F\u202A-\u202E\u2060-\u2064\u2066-\u206F\uFEFF\uFFF9-\uFFFB]|\uD804[\uDCBD\uDCCD]|\uD82F[\uDCA0-\uDCA3]|\uD834[\uDD73-\uDD7A]|\uDB40[\uDC01\uDC20-\uDC7F]/,Il=/[ \xA0\u1680\u2000-\u200A\u2028\u2029\u202F\u205F\u3000]/;Zn.Any=Nl;Zn.Cc=Al;Zn.Cf=FG;Zn.P=$a;Zn.Z=Il;(function(e){function t(x){return Object.prototype.toString.call(x)}function n(x){return t(x)==="[object String]"}var r=Object.prototype.hasOwnProperty;function a(x,Y){return r.call(x,Y)}function l(x){var Y=Array.prototype.slice.call(arguments,1);return Y.forEach(function(J){if(!!J){if(typeof J!="object")throw new TypeError(J+"must be object");Object.keys(J).forEach(function(K){x[K]=J[K]})}}),x}function u(x,Y,J){return[].concat(x.slice(0,Y),J,x.slice(Y+1))}function c(x){return!(x>=55296&&x<=57343||x>=64976&&x<=65007||(x&65535)===65535||(x&65535)===65534||x>=0&&x<=8||x===11||x>=14&&x<=31||x>=127&&x<=159||x>1114111)}function d(x){if(x>65535){x-=65536;var Y=55296+(x>>10),J=56320+(x&1023);return String.fromCharCode(Y,J)}return String.fromCharCode(x)}var g=/\\([!"#$%&'()*+,\-.\/:;<=>?@[\\\]^_`{|}~])/g,f=/&([a-z#][a-z0-9]{1,31});/gi,h=new RegExp(g.source+"|"+f.source,"gi"),p=/^#((?:x[a-f0-9]{1,8}|[0-9]{1,8}))/i,m=Ol;function y(x,Y){var J=0;return a(m,Y)?m[Y]:Y.charCodeAt(0)===35&&p.test(Y)&&(J=Y[1].toLowerCase()==="x"?parseInt(Y.slice(2),16):parseInt(Y.slice(1),10),c(J))?d(J):x}function b(x){return x.indexOf("\\")<0?x:x.replace(g,"$1")}function C(x){return x.indexOf("\\")<0&&x.indexOf("&")<0?x:x.replace(h,function(Y,J,K){return J||y(Y,K)})}var E=/[&<>"]/,v=/[&<>"]/g,O={"&":"&","<":"<",">":">",'"':"""};function S(x){return O[x]}function A(x){return E.test(x)?x.replace(v,S):x}var P=/[.?*+^$[\]\\(){}|-]/g;function F(x){return x.replace(P,"\\$&")}function G(x){switch(x){case 9:case 32:return!0}return!1}function z(x){if(x>=8192&&x<=8202)return!0;switch(x){case 9:case 10:case 11:case 12:case 13:case 32:case 160:case 5760:case 8239:case 8287:case 12288:return!0}return!1}var k=$a;function L(x){return k.test(x)}function w(x){switch(x){case 33:case 34:case 35:case 36:case 37:case 38:case 39:case 40:case 41:case 42:case 43:case 44:case 45:case 46:case 47:case 58:case 59:case 60:case 61:case 62:case 63:case 64:case 91:case 92:case 93:case 94:case 95:case 96:case 123:case 124:case 125:case 126:return!0;default:return!1}}function M(x){return x=x.trim().replace(/\s+/g," "),"\u1E9E".toLowerCase()==="\u1E7E"&&(x=x.replace(/ẞ/g,"\xDF")),x.toLowerCase().toUpperCase()}e.lib={},e.lib.mdurl=Xn,e.lib.ucmicro=Zn,e.assign=l,e.isString=n,e.has=a,e.unescapeMd=b,e.unescapeAll=C,e.isValidEntityCode=c,e.fromCodePoint=d,e.escapeHtml=A,e.arrayReplaceAt=u,e.isSpace=G,e.isWhiteSpace=z,e.isMdAsciiPunct=w,e.isPunctChar=L,e.escapeRE=F,e.normalizeReference=M})(Ze);var vi={},UG=function(t,n,r){var a,l,u,c,d=-1,g=t.posMax,f=t.pos;for(t.pos=n+1,a=1;t.pos32))return d;if(a===41){if(l===0)break;l--}n++}return c===n||l!==0||(d.str=Ns(t.slice(c,n)),d.lines=u,d.pos=n,d.ok=!0),d},qG=Ze.unescapeAll,YG=function(t,n,r){var a,l,u=0,c=n,d={ok:!1,pos:0,lines:0,str:""};if(n>=r||(l=t.charCodeAt(n),l!==34&&l!==39&&l!==40))return d;for(n++,l===40&&(l=41);n"+Rn(e[t].content)+""};Qt.code_block=function(e,t,n,r,a){var l=e[t];return""+Rn(e[t].content)+` `};Qt.fence=function(e,t,n,r,a){var l=e[t],u=l.info?$G(l.info).trim():"",c="",d="",g,f,h,p,m;return u&&(h=u.split(/(\s+)/g),c=h[0],d=h.slice(2).join("")),n.highlight?g=n.highlight(l.content,c,d)||Rn(l.content):g=Rn(l.content),g.indexOf(""+g+` `):"
"+g+`
`};Qt.image=function(e,t,n,r,a){var l=e[t];return l.attrs[l.attrIndex("alt")][1]=a.renderInlineAsText(l.children,n,r),a.renderToken(e,t,n)};Qt.hardbreak=function(e,t,n){return n.xhtmlOut?`
`:`
`};Qt.softbreak=function(e,t,n){return n.breaks?n.xhtmlOut?`
`:`
`:` `};Qt.text=function(e,t){return Rn(e[t].content)};Qt.html_block=function(e,t){return e[t].content};Qt.html_inline=function(e,t){return e[t].content};function Jn(){this.rules=zG({},Qt)}Jn.prototype.renderAttrs=function(t){var n,r,a;if(!t.attrs)return"";for(a="",n=0,r=t.attrs.length;n `:">",l)};Jn.prototype.renderInline=function(e,t,n){for(var r,a="",l=this.rules,u=0,c=e.length;u\s]/i.test(e)}function JG(e){return/^<\/a\s*>/i.test(e)}var eq=function(t){var n,r,a,l,u,c,d,g,f,h,p,m,y,b,C,E,v=t.tokens,O;if(!!t.md.options.linkify){for(r=0,a=v.length;r=0;n--){if(c=l[n],c.type==="link_close"){for(n--;l[n].level!==c.level&&l[n].type!=="link_open";)n--;continue}if(c.type==="html_inline"&&(ZG(c.content)&&y>0&&y--,JG(c.content)&&y++),!(y>0)&&c.type==="text"&&t.md.linkify.test(c.content)){for(f=c.content,O=t.md.linkify.match(f),d=[],m=c.level,p=0,O.length>0&&O[0].index===0&&n>0&&l[n-1].type==="text_special"&&(O=O.slice(1)),g=0;gp&&(u=new t.Token("text","",0),u.content=f.slice(p,h),u.level=m,d.push(u)),u=new t.Token("link_open","a",1),u.attrs=[["href",C]],u.level=m++,u.markup="linkify",u.info="auto",d.push(u),u=new t.Token("text","",0),u.content=E,u.level=m,d.push(u),u=new t.Token("link_close","a",-1),u.level=--m,u.markup="linkify",u.info="auto",d.push(u),p=O[g].lastIndex);p=0;t--)n=e[t],n.type==="text"&&!r&&(n.content=n.content.replace(nq,iq)),n.type==="link_open"&&n.info==="auto"&&r--,n.type==="link_close"&&n.info==="auto"&&r++}function oq(e){var t,n,r=0;for(t=e.length-1;t>=0;t--)n=e[t],n.type==="text"&&!r&&Dl.test(n.content)&&(n.content=n.content.replace(/\+-/g,"\xB1").replace(/\.{2,}/g,"\u2026").replace(/([?!])…/g,"$1..").replace(/([?!]){4,}/g,"$1$1$1").replace(/,{2,}/g,",").replace(/(^|[^-])---(?=[^-]|$)/mg,"$1\u2014").replace(/(^|\s)--(?=\s|$)/mg,"$1\u2013").replace(/(^|[^-\s])--(?=[^-\s]|$)/mg,"$1\u2013")),n.type==="link_open"&&n.info==="auto"&&r--,n.type==="link_close"&&n.info==="auto"&&r++}var sq=function(t){var n;if(!!t.md.options.typographer)for(n=t.tokens.length-1;n>=0;n--)t.tokens[n].type==="inline"&&(tq.test(t.tokens[n].content)&&aq(t.tokens[n].children),Dl.test(t.tokens[n].content)&&oq(t.tokens[n].children))},As=Ze.isWhiteSpace,Is=Ze.isPunctChar,Ds=Ze.isMdAsciiPunct,lq=/['"]/,xs=/['"]/g,ws="\u2019";function ti(e,t,n){return e.slice(0,t)+n+e.slice(t+1)}function cq(e,t){var n,r,a,l,u,c,d,g,f,h,p,m,y,b,C,E,v,O,S,A,P;for(S=[],n=0;n=0&&!(S[v].level<=d);v--);if(S.length=v+1,r.type!=="text")continue;a=r.content,u=0,c=a.length;e:for(;u=0)f=a.charCodeAt(l.index-1);else for(v=n-1;v>=0&&!(e[v].type==="softbreak"||e[v].type==="hardbreak");v--)if(!!e[v].content){f=e[v].content.charCodeAt(e[v].content.length-1);break}if(h=32,u=48&&f<=57&&(E=C=!1),C&&E&&(C=p,E=m),!C&&!E){O&&(r.content=ti(r.content,l.index,ws));continue}if(E){for(v=S.length-1;v>=0&&(g=S[v],!(S[v].level=0;n--)t.tokens[n].type!=="inline"||!lq.test(t.tokens[n].content)||cq(t.tokens[n].children,t)},dq=function(t){var n,r,a,l,u,c,d=t.tokens;for(n=0,r=d.length;n=0&&(r=this.attrs[n][1]),r};er.prototype.attrJoin=function(t,n){var r=this.attrIndex(t);r<0?this.attrPush([t,n]):this.attrs[r][1]=this.attrs[r][1]+" "+n};var Va=er,_q=Va;function xl(e,t,n){this.src=e,this.env=n,this.tokens=[],this.inlineMode=!1,this.md=t}xl.prototype.Token=_q;var pq=xl,mq=Ha,va=[["normalize",KG],["block",QG],["inline",jG],["linkify",eq],["replacements",sq],["smartquotes",uq],["text_join",dq]];function Wa(){this.ruler=new mq;for(var e=0;er||(f=n+1,t.sCount[f]=4||(c=t.bMarks[f]+t.tShift[f],c>=t.eMarks[f])||(A=t.src.charCodeAt(c++),A!==124&&A!==45&&A!==58)||c>=t.eMarks[f]||(P=t.src.charCodeAt(c++),P!==124&&P!==45&&P!==58&&!Ta(P))||A===45&&Ta(P))return!1;for(;c=4||(h=Ms(u),h.length&&h[0]===""&&h.shift(),h.length&&h[h.length-1]===""&&h.pop(),p=h.length,p===0||p!==y.length))return!1;if(a)return!0;for(v=t.parentType,t.parentType="table",S=t.md.block.ruler.getRules("blockquote"),m=t.push("table_open","table",1),m.map=C=[n,0],m=t.push("thead_open","thead",1),m.map=[n,n+1],m=t.push("tr_open","tr",1),m.map=[n,n+1],d=0;d=4)break;for(h=Ms(u),h.length&&h[0]===""&&h.shift(),h.length&&h[h.length-1]===""&&h.pop(),f===n+2&&(m=t.push("tbody_open","tbody",1),m.map=E=[n+2,0]),m=t.push("tr_open","tr",1),m.map=[f,f+1],d=0;d=4){a++,l=a;continue}break}return t.line=l,u=t.push("code_block","code",0),u.content=t.getLines(n,l,4+t.blkIndent,!1)+` `,u.map=[n,t.line],!0},Eq=function(t,n,r,a){var l,u,c,d,g,f,h,p=!1,m=t.bMarks[n]+t.tShift[n],y=t.eMarks[n];if(t.sCount[n]-t.blkIndent>=4||m+3>y||(l=t.src.charCodeAt(m),l!==126&&l!==96)||(g=m,m=t.skipChars(m,l),u=m-g,u<3)||(h=t.src.slice(g,m),c=t.src.slice(m,y),l===96&&c.indexOf(String.fromCharCode(l))>=0))return!1;if(a)return!0;for(d=n;d++,!(d>=r||(m=g=t.bMarks[d]+t.tShift[d],y=t.eMarks[d],m=4)&&(m=t.skipChars(m,l),!(m-g=4||t.src.charCodeAt(k++)!==62)return!1;if(a)return!0;for(d=m=t.sCount[n]+1,t.src.charCodeAt(k)===32?(k++,d++,m++,l=!1,S=!0):t.src.charCodeAt(k)===9?(S=!0,(t.bsCount[n]+m)%4===3?(k++,d++,m++,l=!1):l=!0):S=!1,y=[t.bMarks[n]],t.bMarks[n]=k;k=L,v=[t.sCount[n]],t.sCount[n]=m-d,O=[t.tShift[n]],t.tShift[n]=k-t.bMarks[n],P=t.md.block.ruler.getRules("blockquote"),E=t.parentType,t.parentType="blockquote",p=n+1;p=L));p++){if(t.src.charCodeAt(k++)===62&&!G){for(d=m=t.sCount[p]+1,t.src.charCodeAt(k)===32?(k++,d++,m++,l=!1,S=!0):t.src.charCodeAt(k)===9?(S=!0,(t.bsCount[p]+m)%4===3?(k++,d++,m++,l=!1):l=!0):S=!1,y.push(t.bMarks[p]),t.bMarks[p]=k;k=L,b.push(t.bsCount[p]),t.bsCount[p]=t.sCount[p]+1+(S?1:0),v.push(t.sCount[p]),t.sCount[p]=m-d,O.push(t.tShift[p]),t.tShift[p]=k-t.bMarks[p];continue}if(f)break;for(A=!1,c=0,g=P.length;c",F.map=h=[n,0],t.md.block.tokenize(t,n,p),F=t.push("blockquote_close","blockquote",-1),F.markup=">",t.lineMax=z,t.parentType=E,h[1]=t.line,c=0;c=4||(l=t.src.charCodeAt(g++),l!==42&&l!==45&&l!==95))return!1;for(u=1;g=l||(n=e.src.charCodeAt(a++),n<48||n>57))return-1;for(;;){if(a>=l)return-1;if(n=e.src.charCodeAt(a++),n>=48&&n<=57){if(a-r>=10)return-1;continue}if(n===41||n===46)break;return-1}return a=4||t.listIndent>=0&&t.sCount[n]-t.listIndent>=4&&t.sCount[n]=t.blkIndent&&(K=!0),(L=Ps(t,n))>=0){if(h=!0,M=t.bMarks[n]+t.tShift[n],E=Number(t.src.slice(M,L-1)),K&&E!==1)return!1}else if((L=ks(t,n))>=0)h=!1;else return!1;if(K&&t.skipSpaces(L)>=t.eMarks[n])return!1;if(C=t.src.charCodeAt(L-1),a)return!0;for(b=t.tokens.length,h?(J=t.push("ordered_list_open","ol",1),E!==1&&(J.attrs=[["start",E]])):J=t.push("bullet_list_open","ul",1),J.map=y=[n,0],J.markup=String.fromCharCode(C),O=n,w=!1,Y=t.md.block.ruler.getRules("list"),P=t.parentType,t.parentType="list";O=v?g=1:g=S-f,g>4&&(g=1),d=f+g,J=t.push("list_item_open","li",1),J.markup=String.fromCharCode(C),J.map=p=[n,0],h&&(J.info=t.src.slice(M,L-1)),z=t.tight,G=t.tShift[n],F=t.sCount[n],A=t.listIndent,t.listIndent=t.blkIndent,t.blkIndent=d,t.tight=!0,t.tShift[n]=u-t.bMarks[n],t.sCount[n]=S,u>=v&&t.isEmpty(n+1)?t.line=Math.min(t.line+2,r):t.md.block.tokenize(t,n,r,!0),(!t.tight||w)&&(re=!1),w=t.line-n>1&&t.isEmpty(t.line-1),t.blkIndent=t.listIndent,t.listIndent=A,t.tShift[n]=G,t.sCount[n]=F,t.tight=z,J=t.push("list_item_close","li",-1),J.markup=String.fromCharCode(C),O=n=t.line,p[1]=O,u=t.bMarks[n],O>=r||t.sCount[O]=4)break;for(x=!1,c=0,m=Y.length;c=4||t.src.charCodeAt(P)!==91)return!1;for(;++P3)&&!(t.sCount[G]<0)){for(v=!1,f=0,h=O.length;f`\\x00-\\x20]+",Iq="'[^']*'",Dq='"[^"]*"',xq="(?:"+Aq+"|"+Iq+"|"+Dq+")",wq="(?:\\s+"+Nq+"(?:\\s*=\\s*"+xq+")?)",Ml="<[A-Za-z][A-Za-z0-9\\-]*"+wq+"*\\s*\\/?>",Ll="<\\/[A-Za-z][A-Za-z0-9\\-]*\\s*>",Mq="|",Lq="<[?][\\s\\S]*?[?]>",kq="]*>",Pq="",Bq=new RegExp("^(?:"+Ml+"|"+Ll+"|"+Mq+"|"+Lq+"|"+kq+"|"+Pq+")"),Fq=new RegExp("^(?:"+Ml+"|"+Ll+")");Ti.HTML_TAG_RE=Bq;Ti.HTML_OPEN_CLOSE_TAG_RE=Fq;var Uq=Oq,Gq=Ti.HTML_OPEN_CLOSE_TAG_RE,Yn=[[/^<(script|pre|style|textarea)(?=(\s|>|$))/i,/<\/(script|pre|style|textarea)>/i,!0],[/^/,!0],[/^<\?/,/\?>/,!0],[/^/,!0],[/^/,!0],[new RegExp("^|$))","i"),/^$/,!0],[new RegExp(Gq.source+"\\s*$"),/^$/,!1]],qq=function(t,n,r,a){var l,u,c,d,g=t.bMarks[n]+t.tShift[n],f=t.eMarks[n];if(t.sCount[n]-t.blkIndent>=4||!t.md.options.html||t.src.charCodeAt(g)!==60)return!1;for(d=t.src.slice(g,f),l=0;l=4||(l=t.src.charCodeAt(g),l!==35||g>=f))return!1;for(u=1,l=t.src.charCodeAt(++g);l===35&&g6||gg&&Bs(t.src.charCodeAt(c-1))&&(f=c),t.line=n+1,d=t.push("heading_open","h"+String(u),1),d.markup="########".slice(0,u),d.map=[n,t.line],d=t.push("inline","",0),d.content=t.src.slice(g,f).trim(),d.map=[n,t.line],d.children=[],d=t.push("heading_close","h"+String(u),-1),d.markup="########".slice(0,u)),!0)},zq=function(t,n,r){var a,l,u,c,d,g,f,h,p,m=n+1,y,b=t.md.block.ruler.getRules("paragraph");if(t.sCount[n]-t.blkIndent>=4)return!1;for(y=t.parentType,t.parentType="paragraph";m3)){if(t.sCount[m]>=t.blkIndent&&(g=t.bMarks[m]+t.tShift[m],f=t.eMarks[m],g=f)))){h=p===61?1:2;break}if(!(t.sCount[m]<0)){for(l=!1,u=0,c=b.length;u3)&&!(t.sCount[g]<0)){for(a=!1,l=0,u=f.length;l0&&this.level++,this.tokens.push(r),r};jt.prototype.isEmpty=function(t){return this.bMarks[t]+this.tShift[t]>=this.eMarks[t]};jt.prototype.skipEmptyLines=function(t){for(var n=this.lineMax;tn;)if(!yi(this.src.charCodeAt(--t)))return t+1;return t};jt.prototype.skipChars=function(t,n){for(var r=this.src.length;tr;)if(n!==this.src.charCodeAt(--t))return t+1;return t};jt.prototype.getLines=function(t,n,r,a){var l,u,c,d,g,f,h,p=t;if(t>=n)return"";for(f=new Array(n-t),l=0;pr?f[l]=new Array(u-r+1).join(" ")+this.src.slice(d,g):f[l]=this.src.slice(d,g)}return f.join("")};jt.prototype.Token=kl;var Hq=jt,Vq=Ha,ri=[["table",gq,["paragraph","reference"]],["code",hq],["fence",Eq,["paragraph","reference","blockquote","list"]],["blockquote",Sq,["paragraph","reference","blockquote","list"]],["hr",vq,["paragraph","reference","blockquote","list"]],["list",yq,["paragraph","reference","blockquote"]],["reference",Rq],["html_block",qq,["paragraph","reference","blockquote"]],["heading",Yq,["paragraph","reference","blockquote"]],["lheading",zq],["paragraph",$q]];function Ci(){this.ruler=new Vq;for(var e=0;e=n||e.sCount[c]=g){e.line=n;break}for(a=0;a0||(r=t.pos,a=t.posMax,r+3>a)||t.src.charCodeAt(r)!==58||t.src.charCodeAt(r+1)!==47||t.src.charCodeAt(r+2)!==47||(l=t.pending.match(jq),!l)||(u=l[1],c=t.md.linkify.matchAtStart(t.src.slice(r-u.length)),!c)||(d=c.url,d=d.replace(/\*+$/,""),g=t.md.normalizeLink(d),!t.md.validateLink(g))?!1:(n||(t.pending=t.pending.slice(0,-u.length),f=t.push("link_open","a",1),f.attrs=[["href",g]],f.markup="linkify",f.info="auto",f=t.push("text","",0),f.content=t.md.normalizeLinkText(d),f=t.push("link_close","a",-1),f.markup="linkify",f.info="auto"),t.pos+=d.length-u.length,!0)},Zq=Ze.isSpace,Jq=function(t,n){var r,a,l,u=t.pos;if(t.src.charCodeAt(u)!==10)return!1;if(r=t.pending.length-1,a=t.posMax,!n)if(r>=0&&t.pending.charCodeAt(r)===32)if(r>=1&&t.pending.charCodeAt(r-1)===32){for(l=r-1;l>=1&&t.pending.charCodeAt(l-1)===32;)l--;t.pending=t.pending.slice(0,l),t.push("hardbreak","br",0)}else t.pending=t.pending.slice(0,-1),t.push("softbreak","br",0);else t.push("softbreak","br",0);for(u++;u?@[]^_`{|}~-".split("").forEach(function(e){Ka[e.charCodeAt(0)]=1});var tY=function(t,n){var r,a,l,u,c,d=t.pos,g=t.posMax;if(t.src.charCodeAt(d)!==92||(d++,d>=g))return!1;if(r=t.src.charCodeAt(d),r===10){for(n||t.push("hardbreak","br",0),d++;d=55296&&r<=56319&&d+1=56320&&a<=57343&&(u+=t.src[d+1],d++)),l="\\"+u,n||(c=t.push("text_special","",0),r<256&&Ka[r]!==0?c.content=u:c.content=l,c.markup=l,c.info="escape"),t.pos=d+1,!0},nY=function(t,n){var r,a,l,u,c,d,g,f,h=t.pos,p=t.src.charCodeAt(h);if(p!==96)return!1;for(r=h,h++,a=t.posMax;h=0;n--)r=t[n],!(r.marker!==95&&r.marker!==42)&&r.end!==-1&&(a=t[r.end],c=n>0&&t[n-1].end===r.end+1&&t[n-1].marker===r.marker&&t[n-1].token===r.token-1&&t[r.end+1].token===a.token+1,u=String.fromCharCode(r.marker),l=e.tokens[r.token],l.type=c?"strong_open":"em_open",l.tag=c?"strong":"em",l.nesting=1,l.markup=c?u+u:u,l.content="",l=e.tokens[a.token],l.type=c?"strong_close":"em_close",l.tag=c?"strong":"em",l.nesting=-1,l.markup=c?u+u:u,l.content="",c&&(e.tokens[t[n-1].token].content="",e.tokens[t[r.end+1].token].content="",n--))}Oi.postProcess=function(t){var n,r=t.tokens_meta,a=t.tokens_meta.length;for(Gs(t,t.delimiters),n=0;n=b)return!1;if(C=d,g=t.md.helpers.parseLinkDestination(t.src,d,t.posMax),g.ok){for(p=t.md.normalizeLink(g.str),t.md.validateLink(p)?d=g.pos:p="",C=d;d=b||t.src.charCodeAt(d)!==41)&&(E=!0),d++}if(E){if(typeof t.env.references=="undefined")return!1;if(d=0?l=t.src.slice(C,d++):d=u+1):d=u+1,l||(l=t.src.slice(c,u)),f=t.env.references[rY(l)],!f)return t.pos=y,!1;p=f.href,m=f.title}return n||(t.pos=c,t.posMax=u,h=t.push("link_open","a",1),h.attrs=r=[["href",p]],m&&r.push(["title",m]),t.linkLevel++,t.md.inline.tokenize(t),t.linkLevel--,h=t.push("link_close","a",-1)),t.pos=d,t.posMax=b,!0},aY=Ze.normalizeReference,Ra=Ze.isSpace,oY=function(t,n){var r,a,l,u,c,d,g,f,h,p,m,y,b,C="",E=t.pos,v=t.posMax;if(t.src.charCodeAt(t.pos)!==33||t.src.charCodeAt(t.pos+1)!==91||(d=t.pos+2,c=t.md.helpers.parseLinkLabel(t,t.pos+1,!1),c<0))return!1;if(g=c+1,g=v)return!1;for(b=g,h=t.md.helpers.parseLinkDestination(t.src,g,t.posMax),h.ok&&(C=t.md.normalizeLink(h.str),t.md.validateLink(C)?g=h.pos:C=""),b=g;g=v||t.src.charCodeAt(g)!==41)return t.pos=E,!1;g++}else{if(typeof t.env.references=="undefined")return!1;if(g=0?u=t.src.slice(b,g++):g=c+1):g=c+1,u||(u=t.src.slice(d,c)),f=t.env.references[aY(u)],!f)return t.pos=E,!1;C=f.href,p=f.title}return n||(l=t.src.slice(d,c),t.md.inline.parse(l,t.md,t.env,y=[]),m=t.push("image","img",0),m.attrs=r=[["src",C],["alt",""]],m.children=y,m.content=l,p&&r.push(["title",p])),t.pos=g,t.posMax=v,!0},sY=/^([a-zA-Z0-9.!#$%&'*+\/=?^_`{|}~-]+@[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)*)$/,lY=/^([a-zA-Z][a-zA-Z0-9+.\-]{1,31}):([^<>\x00-\x20]*)$/,cY=function(t,n){var r,a,l,u,c,d,g=t.pos;if(t.src.charCodeAt(g)!==60)return!1;for(c=t.pos,d=t.posMax;;){if(++g>=d||(u=t.src.charCodeAt(g),u===60))return!1;if(u===62)break}return r=t.src.slice(c+1,g),lY.test(r)?(a=t.md.normalizeLink(r),t.md.validateLink(a)?(n||(l=t.push("link_open","a",1),l.attrs=[["href",a]],l.markup="autolink",l.info="auto",l=t.push("text","",0),l.content=t.md.normalizeLinkText(r),l=t.push("link_close","a",-1),l.markup="autolink",l.info="auto"),t.pos+=r.length+2,!0):!1):sY.test(r)?(a=t.md.normalizeLink("mailto:"+r),t.md.validateLink(a)?(n||(l=t.push("link_open","a",1),l.attrs=[["href",a]],l.markup="autolink",l.info="auto",l=t.push("text","",0),l.content=t.md.normalizeLinkText(r),l=t.push("link_close","a",-1),l.markup="autolink",l.info="auto"),t.pos+=r.length+2,!0):!1):!1},uY=Ti.HTML_TAG_RE;function dY(e){return/^\s]/i.test(e)}function _Y(e){return/^<\/a\s*>/i.test(e)}function pY(e){var t=e|32;return t>=97&&t<=122}var mY=function(t,n){var r,a,l,u,c=t.pos;return!t.md.options.html||(l=t.posMax,t.src.charCodeAt(c)!==60||c+2>=l)||(r=t.src.charCodeAt(c+1),r!==33&&r!==63&&r!==47&&!pY(r))||(a=t.src.slice(c).match(uY),!a)?!1:(n||(u=t.push("html_inline","",0),u.content=t.src.slice(c,c+a[0].length),dY(u.content)&&t.linkLevel++,_Y(u.content)&&t.linkLevel--),t.pos+=a[0].length,!0)},qs=Ol,fY=Ze.has,gY=Ze.isValidEntityCode,Ys=Ze.fromCodePoint,hY=/^&#((?:x[a-f0-9]{1,6}|[0-9]{1,7}));/i,EY=/^&([a-z][a-z0-9]{1,31});/i,SY=function(t,n){var r,a,l,u,c=t.pos,d=t.posMax;if(t.src.charCodeAt(c)!==38||c+1>=d)return!1;if(r=t.src.charCodeAt(c+1),r===35){if(l=t.src.slice(c).match(hY),l)return n||(a=l[1][0].toLowerCase()==="x"?parseInt(l[1].slice(1),16):parseInt(l[1],10),u=t.push("text_special","",0),u.content=gY(a)?Ys(a):Ys(65533),u.markup=l[0],u.info="entity"),t.pos+=l[0].length,!0}else if(l=t.src.slice(c).match(EY),l&&fY(qs,l[1]))return n||(u=t.push("text_special","",0),u.content=qs[l[1]],u.markup=l[0],u.info="entity"),t.pos+=l[0].length,!0;return!1};function zs(e,t){var n,r,a,l,u,c,d,g,f={},h=t.length;if(!!h){var p=0,m=-2,y=[];for(n=0;nu;r-=y[r]+1)if(l=t[r],l.marker===a.marker&&l.open&&l.end<0&&(d=!1,(l.close||a.open)&&(l.length+a.length)%3===0&&(l.length%3!==0||a.length%3!==0)&&(d=!0),!d)){g=r>0&&!t[r-1].open?y[r-1]+1:0,y[n]=n-r+g,y[r]=g,a.open=!1,l.end=n,l.close=!1,c=-1,m=-2;break}c!==-1&&(f[a.marker][(a.open?3:0)+(a.length||0)%3]=c)}}}var bY=function(t){var n,r=t.tokens_meta,a=t.tokens_meta.length;for(zs(t,t.delimiters),n=0;n0&&a++,l[n].type==="text"&&n+10&&(this.level++,this._prev_delimiters.push(this.delimiters),this.delimiters=[],a={delimiters:this.delimiters}),this.pendingLevel=this.level,this.tokens.push(r),this.tokens_meta.push(a),r};Cr.prototype.scanDelims=function(e,t){var n=e,r,a,l,u,c,d,g,f,h,p=!0,m=!0,y=this.posMax,b=this.src.charCodeAt(e);for(r=e>0?this.src.charCodeAt(e-1):32;n=l)break;continue}e.pending+=e.src[e.pos++]}e.pending&&e.pushPending()};Rr.prototype.parse=function(e,t,n,r){var a,l,u,c=new this.State(e,t,n,r);for(this.tokenize(c),l=this.ruler2.getRules(""),u=l.length,a=0;a|$))",t.tpl_email_fuzzy="(^|"+n+'|"|\\(|'+t.src_ZCc+")("+t.src_email_name+"@"+t.tpl_host_fuzzy_strict+")",t.tpl_link_fuzzy="(^|(?![.:/\\-_@])(?:[$+<=>^`|\uFF5C]|"+t.src_ZPCc+"))((?![$+<=>^`|\uFF5C])"+t.tpl_host_port_fuzzy_strict+t.src_path+")",t.tpl_link_no_ip_fuzzy="(^|(?![.:/\\-_@])(?:[$+<=>^`|\uFF5C]|"+t.src_ZPCc+"))((?![$+<=>^`|\uFF5C])"+t.tpl_host_port_no_ip_fuzzy_strict+t.src_path+")",t};function Ba(e){var t=Array.prototype.slice.call(arguments,1);return t.forEach(function(n){!n||Object.keys(n).forEach(function(r){e[r]=n[r]})}),e}function Ni(e){return Object.prototype.toString.call(e)}function RY(e){return Ni(e)==="[object String]"}function OY(e){return Ni(e)==="[object Object]"}function NY(e){return Ni(e)==="[object RegExp]"}function Ks(e){return Ni(e)==="[object Function]"}function AY(e){return e.replace(/[.?*+^$[\]\\(){}|-]/g,"\\$&")}var Pl={fuzzyLink:!0,fuzzyEmail:!0,fuzzyIP:!1};function IY(e){return Object.keys(e||{}).reduce(function(t,n){return t||Pl.hasOwnProperty(n)},!1)}var DY={"http:":{validate:function(e,t,n){var r=e.slice(t);return n.re.http||(n.re.http=new RegExp("^\\/\\/"+n.re.src_auth+n.re.src_host_port_strict+n.re.src_path,"i")),n.re.http.test(r)?r.match(n.re.http)[0].length:0}},"https:":"http:","ftp:":"http:","//":{validate:function(e,t,n){var r=e.slice(t);return n.re.no_http||(n.re.no_http=new RegExp("^"+n.re.src_auth+"(?:localhost|(?:(?:"+n.re.src_domain+")\\.)+"+n.re.src_domain_root+")"+n.re.src_port+n.re.src_host_terminator+n.re.src_path,"i")),n.re.no_http.test(r)?t>=3&&e[t-3]===":"||t>=3&&e[t-3]==="/"?0:r.match(n.re.no_http)[0].length:0}},"mailto:":{validate:function(e,t,n){var r=e.slice(t);return n.re.mailto||(n.re.mailto=new RegExp("^"+n.re.src_email_name+"@"+n.re.src_host_strict,"i")),n.re.mailto.test(r)?r.match(n.re.mailto)[0].length:0}}},xY="a[cdefgilmnoqrstuwxz]|b[abdefghijmnorstvwyz]|c[acdfghiklmnoruvwxyz]|d[ejkmoz]|e[cegrstu]|f[ijkmor]|g[abdefghilmnpqrstuwy]|h[kmnrtu]|i[delmnoqrst]|j[emop]|k[eghimnprwyz]|l[abcikrstuvy]|m[acdeghklmnopqrstuvwxyz]|n[acefgilopruz]|om|p[aefghklmnrstwy]|qa|r[eosuw]|s[abcdeghijklmnortuvxyz]|t[cdfghjklmnortvwz]|u[agksyz]|v[aceginu]|w[fs]|y[et]|z[amw]",wY="biz|com|edu|gov|net|org|pro|web|xxx|aero|asia|coop|info|museum|name|shop|\u0440\u0444".split("|");function MY(e){e.__index__=-1,e.__text_cache__=""}function LY(e){return function(t,n){var r=t.slice(n);return e.test(r)?r.match(e)[0].length:0}}function Qs(){return function(e,t){t.normalize(e)}}function mi(e){var t=e.re=CY(e.__opts__),n=e.__tlds__.slice();e.onCompile(),e.__tlds_replaced__||n.push(xY),n.push(t.src_xn),t.src_tlds=n.join("|");function r(c){return c.replace("%TLDS%",t.src_tlds)}t.email_fuzzy=RegExp(r(t.tpl_email_fuzzy),"i"),t.link_fuzzy=RegExp(r(t.tpl_link_fuzzy),"i"),t.link_no_ip_fuzzy=RegExp(r(t.tpl_link_no_ip_fuzzy),"i"),t.host_fuzzy_test=RegExp(r(t.tpl_host_fuzzy_test),"i");var a=[];e.__compiled__={};function l(c,d){throw new Error('(LinkifyIt) Invalid schema "'+c+'": '+d)}Object.keys(e.__schemas__).forEach(function(c){var d=e.__schemas__[c];if(d!==null){var g={validate:null,link:null};if(e.__compiled__[c]=g,OY(d)){NY(d.validate)?g.validate=LY(d.validate):Ks(d.validate)?g.validate=d.validate:l(c,d),Ks(d.normalize)?g.normalize=d.normalize:d.normalize?l(c,d):g.normalize=Qs();return}if(RY(d)){a.push(c);return}l(c,d)}}),a.forEach(function(c){!e.__compiled__[e.__schemas__[c]]||(e.__compiled__[c].validate=e.__compiled__[e.__schemas__[c]].validate,e.__compiled__[c].normalize=e.__compiled__[e.__schemas__[c]].normalize)}),e.__compiled__[""]={validate:null,normalize:Qs()};var u=Object.keys(e.__compiled__).filter(function(c){return c.length>0&&e.__compiled__[c]}).map(AY).join("|");e.re.schema_test=RegExp("(^|(?!_)(?:[><\uFF5C]|"+t.src_ZPCc+"))("+u+")","i"),e.re.schema_search=RegExp("(^|(?!_)(?:[><\uFF5C]|"+t.src_ZPCc+"))("+u+")","ig"),e.re.schema_at_start=RegExp("^"+e.re.schema_search.source,"i"),e.re.pretest=RegExp("("+e.re.schema_test.source+")|("+e.re.host_fuzzy_test.source+")|@","i"),MY(e)}function kY(e,t){var n=e.__index__,r=e.__last_index__,a=e.__text_cache__.slice(n,r);this.schema=e.__schema__.toLowerCase(),this.index=n+t,this.lastIndex=r+t,this.raw=a,this.text=a,this.url=a}function Fa(e,t){var n=new kY(e,t);return e.__compiled__[n.schema].normalize(n,e),n}function At(e,t){if(!(this instanceof At))return new At(e,t);t||IY(e)&&(t=e,e={}),this.__opts__=Ba({},Pl,t),this.__index__=-1,this.__last_index__=-1,this.__schema__="",this.__text_cache__="",this.__schemas__=Ba({},DY,e),this.__compiled__={},this.__tlds__=wY,this.__tlds_replaced__=!1,this.re={},mi(this)}At.prototype.add=function(t,n){return this.__schemas__[t]=n,mi(this),this};At.prototype.set=function(t){return this.__opts__=Ba(this.__opts__,t),this};At.prototype.test=function(t){if(this.__text_cache__=t,this.__index__=-1,!t.length)return!1;var n,r,a,l,u,c,d,g,f;if(this.re.schema_test.test(t)){for(d=this.re.schema_search,d.lastIndex=0;(n=d.exec(t))!==null;)if(l=this.testSchemaAt(t,n[2],d.lastIndex),l){this.__schema__=n[2],this.__index__=n.index+n[1].length,this.__last_index__=n.index+n[0].length+l;break}}return this.__opts__.fuzzyLink&&this.__compiled__["http:"]&&(g=t.search(this.re.host_fuzzy_test),g>=0&&(this.__index__<0||g=0&&(a=t.match(this.re.email_fuzzy))!==null&&(u=a.index+a[1].length,c=a.index+a[0].length,(this.__index__<0||uthis.__last_index__)&&(this.__schema__="mailto:",this.__index__=u,this.__last_index__=c))),this.__index__>=0};At.prototype.pretest=function(t){return this.re.pretest.test(t)};At.prototype.testSchemaAt=function(t,n,r){return this.__compiled__[n.toLowerCase()]?this.__compiled__[n.toLowerCase()].validate(t,r,this):0};At.prototype.match=function(t){var n=0,r=[];this.__index__>=0&&this.__text_cache__===t&&(r.push(Fa(this,n)),n=this.__last_index__);for(var a=n?t.slice(n):t;this.test(a);)r.push(Fa(this,n)),a=a.slice(this.__last_index__),n+=this.__last_index__;return r.length?r:null};At.prototype.matchAtStart=function(t){if(this.__text_cache__=t,this.__index__=-1,!t.length)return null;var n=this.re.schema_at_start.exec(t);if(!n)return null;var r=this.testSchemaAt(t,n[2],n[0].length);return r?(this.__schema__=n[2],this.__index__=n.index+n[1].length,this.__last_index__=n.index+n[0].length+r,Fa(this,0)):null};At.prototype.tlds=function(t,n){return t=Array.isArray(t)?t:[t],n?(this.__tlds__=this.__tlds__.concat(t).sort().filter(function(r,a,l){return r!==l[a-1]}).reverse(),mi(this),this):(this.__tlds__=t.slice(),this.__tlds_replaced__=!0,mi(this),this)};At.prototype.normalize=function(t){t.schema||(t.url="http://"+t.url),t.schema==="mailto:"&&!/^mailto:/i.test(t.url)&&(t.url="mailto:"+t.url)};At.prototype.onCompile=function(){};var PY=At;const Wn=2147483647,Vt=36,ja=1,vr=26,BY=38,FY=700,Bl=72,Fl=128,Ul="-",UY=/^xn--/,GY=/[^\0-\x7F]/,qY=/[\x2E\u3002\uFF0E\uFF61]/g,YY={overflow:"Overflow: input needs wider integers to process","not-basic":"Illegal input >= 0x80 (not a basic code point)","invalid-input":"Invalid input"},Aa=Vt-ja,Wt=Math.floor,Ia=String.fromCharCode;function mn(e){throw new RangeError(YY[e])}function zY(e,t){const n=[];let r=e.length;for(;r--;)n[r]=t(e[r]);return n}function Gl(e,t){const n=e.split("@");let r="";n.length>1&&(r=n[0]+"@",e=n[1]),e=e.replace(qY,".");const a=e.split("."),l=zY(a,t).join(".");return r+l}function Xa(e){const t=[];let n=0;const r=e.length;for(;n=55296&&a<=56319&&nString.fromCodePoint(...e),$Y=function(e){return e>=48&&e<58?26+(e-48):e>=65&&e<91?e-65:e>=97&&e<123?e-97:Vt},js=function(e,t){return e+22+75*(e<26)-((t!=0)<<5)},Yl=function(e,t,n){let r=0;for(e=n?Wt(e/FY):e>>1,e+=Wt(e/t);e>Aa*vr>>1;r+=Vt)e=Wt(e/Aa);return Wt(r+(Aa+1)*e/(e+BY))},Za=function(e){const t=[],n=e.length;let r=0,a=Fl,l=Bl,u=e.lastIndexOf(Ul);u<0&&(u=0);for(let c=0;c=128&&mn("not-basic"),t.push(e.charCodeAt(c));for(let c=u>0?u+1:0;c=n&&mn("invalid-input");const p=$Y(e.charCodeAt(c++));p>=Vt&&mn("invalid-input"),p>Wt((Wn-r)/f)&&mn("overflow"),r+=p*f;const m=h<=l?ja:h>=l+vr?vr:h-l;if(pWt(Wn/y)&&mn("overflow"),f*=y}const g=t.length+1;l=Yl(r-d,g,d==0),Wt(r/g)>Wn-a&&mn("overflow"),a+=Wt(r/g),r%=g,t.splice(r++,0,a)}return String.fromCodePoint(...t)},Ja=function(e){const t=[];e=Xa(e);const n=e.length;let r=Fl,a=0,l=Bl;for(const d of e)d<128&&t.push(Ia(d));const u=t.length;let c=u;for(u&&t.push(Ul);c=r&&fWt((Wn-a)/g)&&mn("overflow"),a+=(d-r)*g,r=d;for(const f of e)if(fWn&&mn("overflow"),f===r){let h=a;for(let p=Vt;;p+=Vt){const m=p<=l?ja:p>=l+vr?vr:p-l;if(h=0))try{t.hostname=Hl.toASCII(t.hostname)}catch{}return yn.encode(yn.format(t))}function lz(e){var t=yn.parse(e,!0);if(t.hostname&&(!t.protocol||Vl.indexOf(t.protocol)>=0))try{t.hostname=Hl.toUnicode(t.hostname)}catch{}return yn.decode(yn.format(t),yn.decode.defaultChars+"%")}function Mt(e,t){if(!(this instanceof Mt))return new Mt(e,t);t||br.isString(e)||(t=e||{},e="default"),this.inline=new tz,this.block=new ez,this.core=new JY,this.renderer=new ZY,this.linkify=new nz,this.validateLink=oz,this.normalizeLink=sz,this.normalizeLinkText=lz,this.utils=br,this.helpers=br.assign({},XY),this.options={},this.configure(e),t&&this.set(t)}Mt.prototype.set=function(e){return br.assign(this.options,e),this};Mt.prototype.configure=function(e){var t=this,n;if(br.isString(e)&&(n=e,e=rz[n],!e))throw new Error('Wrong `markdown-it` preset "'+n+'", check name');if(!e)throw new Error("Wrong `markdown-it` preset, can't be empty");return e.options&&t.set(e.options),e.components&&Object.keys(e.components).forEach(function(r){e.components[r].rules&&t[r].ruler.enableOnly(e.components[r].rules),e.components[r].rules2&&t[r].ruler2.enableOnly(e.components[r].rules2)}),this};Mt.prototype.enable=function(e,t){var n=[];Array.isArray(e)||(e=[e]),["core","block","inline"].forEach(function(a){n=n.concat(this[a].ruler.enable(e,!0))},this),n=n.concat(this.inline.ruler2.enable(e,!0));var r=e.filter(function(a){return n.indexOf(a)<0});if(r.length&&!t)throw new Error("MarkdownIt. Failed to enable unknown rule(s): "+r);return this};Mt.prototype.disable=function(e,t){var n=[];Array.isArray(e)||(e=[e]),["core","block","inline"].forEach(function(a){n=n.concat(this[a].ruler.disable(e,!0))},this),n=n.concat(this.inline.ruler2.disable(e,!0));var r=e.filter(function(a){return n.indexOf(a)<0});if(r.length&&!t)throw new Error("MarkdownIt. Failed to disable unknown rule(s): "+r);return this};Mt.prototype.use=function(e){var t=[this].concat(Array.prototype.slice.call(arguments,1));return e.apply(e,t),this};Mt.prototype.parse=function(e,t){if(typeof e!="string")throw new Error("Input data should be a String");var n=new this.core.State(e,this,t);return this.core.process(n),n.tokens};Mt.prototype.render=function(e,t){return t=t||{},this.renderer.render(this.parse(e,t),this.options,t)};Mt.prototype.parseInline=function(e,t){var n=new this.core.State(e,this,t);return n.inlineMode=!0,this.core.process(n),n.tokens};Mt.prototype.renderInline=function(e,t){return t=t||{},this.renderer.render(this.parseInline(e,t),this.options,t)};var cz=Mt,uz=cz;function Wl(e){return e instanceof Map?e.clear=e.delete=e.set=function(){throw new Error("map is read-only")}:e instanceof Set&&(e.add=e.clear=e.delete=function(){throw new Error("set is read-only")}),Object.freeze(e),Object.getOwnPropertyNames(e).forEach(t=>{const n=e[t],r=typeof n;(r==="object"||r==="function")&&!Object.isFrozen(n)&&Wl(n)}),e}class Xs{constructor(t){t.data===void 0&&(t.data={}),this.data=t.data,this.isMatchIgnored=!1}ignoreMatch(){this.isMatchIgnored=!0}}function Kl(e){return e.replace(/&/g,"&").replace(//g,">").replace(/"/g,""").replace(/'/g,"'")}function fn(e,...t){const n=Object.create(null);for(const r in e)n[r]=e[r];return t.forEach(function(r){for(const a in r)n[a]=r[a]}),n}const dz="",Zs=e=>!!e.scope,_z=(e,{prefix:t})=>{if(e.startsWith("language:"))return e.replace("language:","language-");if(e.includes(".")){const n=e.split(".");return[`${t}${n.shift()}`,...n.map((r,a)=>`${r}${"_".repeat(a+1)}`)].join(" ")}return`${t}${e}`};class pz{constructor(t,n){this.buffer="",this.classPrefix=n.classPrefix,t.walk(this)}addText(t){this.buffer+=Kl(t)}openNode(t){if(!Zs(t))return;const n=_z(t.scope,{prefix:this.classPrefix});this.span(n)}closeNode(t){!Zs(t)||(this.buffer+=dz)}value(){return this.buffer}span(t){this.buffer+=``}}const Js=(e={})=>{const t={children:[]};return Object.assign(t,e),t};class eo{constructor(){this.rootNode=Js(),this.stack=[this.rootNode]}get top(){return this.stack[this.stack.length-1]}get root(){return this.rootNode}add(t){this.top.children.push(t)}openNode(t){const n=Js({scope:t});this.add(n),this.stack.push(n)}closeNode(){if(this.stack.length>1)return this.stack.pop()}closeAllNodes(){for(;this.closeNode(););}toJSON(){return JSON.stringify(this.rootNode,null,4)}walk(t){return this.constructor._walk(t,this.rootNode)}static _walk(t,n){return typeof n=="string"?t.addText(n):n.children&&(t.openNode(n),n.children.forEach(r=>this._walk(t,r)),t.closeNode(n)),t}static _collapse(t){typeof t!="string"&&(!t.children||(t.children.every(n=>typeof n=="string")?t.children=[t.children.join("")]:t.children.forEach(n=>{eo._collapse(n)})))}}class mz extends eo{constructor(t){super(),this.options=t}addText(t){t!==""&&this.add(t)}startScope(t){this.openNode(t)}endScope(){this.closeNode()}__addSublanguage(t,n){const r=t.root;n&&(r.scope=`language:${n}`),this.add(r)}toHTML(){return new pz(this,this.options).value()}finalize(){return this.closeAllNodes(),!0}}function Tr(e){return e?typeof e=="string"?e:e.source:null}function Ql(e){return On("(?=",e,")")}function fz(e){return On("(?:",e,")*")}function gz(e){return On("(?:",e,")?")}function On(...e){return e.map(n=>Tr(n)).join("")}function hz(e){const t=e[e.length-1];return typeof t=="object"&&t.constructor===Object?(e.splice(e.length-1,1),t):{}}function to(...e){const t=hz(e);return"("+(t.capture?"":"?:")+e.map(r=>Tr(r)).join("|")+")"}function jl(e){return new RegExp(e.toString()+"|").exec("").length-1}function Ez(e,t){const n=e&&e.exec(t);return n&&n.index===0}const Sz=/\[(?:[^\\\]]|\\.)*\]|\(\??|\\([1-9][0-9]*)|\\./;function no(e,{joinWith:t}){let n=0;return e.map(r=>{n+=1;const a=n;let l=Tr(r),u="";for(;l.length>0;){const c=Sz.exec(l);if(!c){u+=l;break}u+=l.substring(0,c.index),l=l.substring(c.index+c[0].length),c[0][0]==="\\"&&c[1]?u+="\\"+String(Number(c[1])+a):(u+=c[0],c[0]==="("&&n++)}return u}).map(r=>`(${r})`).join(t)}const bz=/\b\B/,Xl="[a-zA-Z]\\w*",ro="[a-zA-Z_]\\w*",Zl="\\b\\d+(\\.\\d+)?",Jl="(-?)(\\b0[xX][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",ec="\\b(0b[01]+)",vz="!|!=|!==|%|%=|&|&&|&=|\\*|\\*=|\\+|\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\?|\\[|\\{|\\(|\\^|\\^=|\\||\\|=|\\|\\||~",Tz=(e={})=>{const t=/^#![ ]*\//;return e.binary&&(e.begin=On(t,/.*\b/,e.binary,/\b.*/)),fn({scope:"meta",begin:t,end:/$/,relevance:0,"on:begin":(n,r)=>{n.index!==0&&r.ignoreMatch()}},e)},yr={begin:"\\\\[\\s\\S]",relevance:0},yz={scope:"string",begin:"'",end:"'",illegal:"\\n",contains:[yr]},Cz={scope:"string",begin:'"',end:'"',illegal:"\\n",contains:[yr]},Rz={begin:/\b(a|an|the|are|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|they|like|more)\b/},Ai=function(e,t,n={}){const r=fn({scope:"comment",begin:e,end:t,contains:[]},n);r.contains.push({scope:"doctag",begin:"[ ]*(?=(TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):)",end:/(TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):/,excludeBegin:!0,relevance:0});const a=to("I","a","is","so","us","to","at","if","in","it","on",/[A-Za-z]+['](d|ve|re|ll|t|s|n)/,/[A-Za-z]+[-][a-z]+/,/[A-Za-z][a-z]{2,}/);return r.contains.push({begin:On(/[ ]+/,"(",a,/[.]?[:]?([.][ ]|[ ])/,"){3}")}),r},Oz=Ai("//","$"),Nz=Ai("/\\*","\\*/"),Az=Ai("#","$"),Iz={scope:"number",begin:Zl,relevance:0},Dz={scope:"number",begin:Jl,relevance:0},xz={scope:"number",begin:ec,relevance:0},wz={begin:/(?=\/[^/\n]*\/)/,contains:[{scope:"regexp",begin:/\//,end:/\/[gimuy]*/,illegal:/\n/,contains:[yr,{begin:/\[/,end:/\]/,relevance:0,contains:[yr]}]}]},Mz={scope:"title",begin:Xl,relevance:0},Lz={scope:"title",begin:ro,relevance:0},kz={begin:"\\.\\s*"+ro,relevance:0},Pz=function(e){return Object.assign(e,{"on:begin":(t,n)=>{n.data._beginMatch=t[1]},"on:end":(t,n)=>{n.data._beginMatch!==t[1]&&n.ignoreMatch()}})};var ii=Object.freeze({__proto__:null,MATCH_NOTHING_RE:bz,IDENT_RE:Xl,UNDERSCORE_IDENT_RE:ro,NUMBER_RE:Zl,C_NUMBER_RE:Jl,BINARY_NUMBER_RE:ec,RE_STARTERS_RE:vz,SHEBANG:Tz,BACKSLASH_ESCAPE:yr,APOS_STRING_MODE:yz,QUOTE_STRING_MODE:Cz,PHRASAL_WORDS_MODE:Rz,COMMENT:Ai,C_LINE_COMMENT_MODE:Oz,C_BLOCK_COMMENT_MODE:Nz,HASH_COMMENT_MODE:Az,NUMBER_MODE:Iz,C_NUMBER_MODE:Dz,BINARY_NUMBER_MODE:xz,REGEXP_MODE:wz,TITLE_MODE:Mz,UNDERSCORE_TITLE_MODE:Lz,METHOD_GUARD:kz,END_SAME_AS_BEGIN:Pz});function Bz(e,t){e.input[e.index-1]==="."&&t.ignoreMatch()}function Fz(e,t){e.className!==void 0&&(e.scope=e.className,delete e.className)}function Uz(e,t){!t||!e.beginKeywords||(e.begin="\\b("+e.beginKeywords.split(" ").join("|")+")(?!\\.)(?=\\b|\\s)",e.__beforeBegin=Bz,e.keywords=e.keywords||e.beginKeywords,delete e.beginKeywords,e.relevance===void 0&&(e.relevance=0))}function Gz(e,t){!Array.isArray(e.illegal)||(e.illegal=to(...e.illegal))}function qz(e,t){if(!!e.match){if(e.begin||e.end)throw new Error("begin & end are not supported with match");e.begin=e.match,delete e.match}}function Yz(e,t){e.relevance===void 0&&(e.relevance=1)}const zz=(e,t)=>{if(!e.beforeMatch)return;if(e.starts)throw new Error("beforeMatch cannot be used with starts");const n=Object.assign({},e);Object.keys(e).forEach(r=>{delete e[r]}),e.keywords=n.keywords,e.begin=On(n.beforeMatch,Ql(n.begin)),e.starts={relevance:0,contains:[Object.assign(n,{endsParent:!0})]},e.relevance=0,delete n.beforeMatch},$z=["of","and","for","in","not","or","if","then","parent","list","value"],Hz="keyword";function tc(e,t,n=Hz){const r=Object.create(null);return typeof e=="string"?a(n,e.split(" ")):Array.isArray(e)?a(n,e):Object.keys(e).forEach(function(l){Object.assign(r,tc(e[l],t,l))}),r;function a(l,u){t&&(u=u.map(c=>c.toLowerCase())),u.forEach(function(c){const d=c.split("|");r[d[0]]=[l,Vz(d[0],d[1])]})}}function Vz(e,t){return t?Number(t):Wz(e)?0:1}function Wz(e){return $z.includes(e.toLowerCase())}const el={},Cn=e=>{console.error(e)},tl=(e,...t)=>{console.log(`WARN: ${e}`,...t)},zn=(e,t)=>{el[`${e}/${t}`]||(console.log(`Deprecated as of ${e}. ${t}`),el[`${e}/${t}`]=!0)},fi=new Error;function nc(e,t,{key:n}){let r=0;const a=e[n],l={},u={};for(let c=1;c<=t.length;c++)u[c+r]=a[c],l[c+r]=!0,r+=jl(t[c-1]);e[n]=u,e[n]._emit=l,e[n]._multi=!0}function Kz(e){if(!!Array.isArray(e.begin)){if(e.skip||e.excludeBegin||e.returnBegin)throw Cn("skip, excludeBegin, returnBegin not compatible with beginScope: {}"),fi;if(typeof e.beginScope!="object"||e.beginScope===null)throw Cn("beginScope must be object"),fi;nc(e,e.begin,{key:"beginScope"}),e.begin=no(e.begin,{joinWith:""})}}function Qz(e){if(!!Array.isArray(e.end)){if(e.skip||e.excludeEnd||e.returnEnd)throw Cn("skip, excludeEnd, returnEnd not compatible with endScope: {}"),fi;if(typeof e.endScope!="object"||e.endScope===null)throw Cn("endScope must be object"),fi;nc(e,e.end,{key:"endScope"}),e.end=no(e.end,{joinWith:""})}}function jz(e){e.scope&&typeof e.scope=="object"&&e.scope!==null&&(e.beginScope=e.scope,delete e.scope)}function Xz(e){jz(e),typeof e.beginScope=="string"&&(e.beginScope={_wrap:e.beginScope}),typeof e.endScope=="string"&&(e.endScope={_wrap:e.endScope}),Kz(e),Qz(e)}function Zz(e){function t(u,c){return new RegExp(Tr(u),"m"+(e.case_insensitive?"i":"")+(e.unicodeRegex?"u":"")+(c?"g":""))}class n{constructor(){this.matchIndexes={},this.regexes=[],this.matchAt=1,this.position=0}addRule(c,d){d.position=this.position++,this.matchIndexes[this.matchAt]=d,this.regexes.push([d,c]),this.matchAt+=jl(c)+1}compile(){this.regexes.length===0&&(this.exec=()=>null);const c=this.regexes.map(d=>d[1]);this.matcherRe=t(no(c,{joinWith:"|"}),!0),this.lastIndex=0}exec(c){this.matcherRe.lastIndex=this.lastIndex;const d=this.matcherRe.exec(c);if(!d)return null;const g=d.findIndex((h,p)=>p>0&&h!==void 0),f=this.matchIndexes[g];return d.splice(0,g),Object.assign(d,f)}}class r{constructor(){this.rules=[],this.multiRegexes=[],this.count=0,this.lastIndex=0,this.regexIndex=0}getMatcher(c){if(this.multiRegexes[c])return this.multiRegexes[c];const d=new n;return this.rules.slice(c).forEach(([g,f])=>d.addRule(g,f)),d.compile(),this.multiRegexes[c]=d,d}resumingScanAtSamePosition(){return this.regexIndex!==0}considerAll(){this.regexIndex=0}addRule(c,d){this.rules.push([c,d]),d.type==="begin"&&this.count++}exec(c){const d=this.getMatcher(this.regexIndex);d.lastIndex=this.lastIndex;let g=d.exec(c);if(this.resumingScanAtSamePosition()&&!(g&&g.index===this.lastIndex)){const f=this.getMatcher(0);f.lastIndex=this.lastIndex+1,g=f.exec(c)}return g&&(this.regexIndex+=g.position+1,this.regexIndex===this.count&&this.considerAll()),g}}function a(u){const c=new r;return u.contains.forEach(d=>c.addRule(d.begin,{rule:d,type:"begin"})),u.terminatorEnd&&c.addRule(u.terminatorEnd,{type:"end"}),u.illegal&&c.addRule(u.illegal,{type:"illegal"}),c}function l(u,c){const d=u;if(u.isCompiled)return d;[Fz,qz,Xz,zz].forEach(f=>f(u,c)),e.compilerExtensions.forEach(f=>f(u,c)),u.__beforeBegin=null,[Uz,Gz,Yz].forEach(f=>f(u,c)),u.isCompiled=!0;let g=null;return typeof u.keywords=="object"&&u.keywords.$pattern&&(u.keywords=Object.assign({},u.keywords),g=u.keywords.$pattern,delete u.keywords.$pattern),g=g||/\w+/,u.keywords&&(u.keywords=tc(u.keywords,e.case_insensitive)),d.keywordPatternRe=t(g,!0),c&&(u.begin||(u.begin=/\B|\b/),d.beginRe=t(d.begin),!u.end&&!u.endsWithParent&&(u.end=/\B|\b/),u.end&&(d.endRe=t(d.end)),d.terminatorEnd=Tr(d.end)||"",u.endsWithParent&&c.terminatorEnd&&(d.terminatorEnd+=(u.end?"|":"")+c.terminatorEnd)),u.illegal&&(d.illegalRe=t(u.illegal)),u.contains||(u.contains=[]),u.contains=[].concat(...u.contains.map(function(f){return Jz(f==="self"?u:f)})),u.contains.forEach(function(f){l(f,d)}),u.starts&&l(u.starts,c),d.matcher=a(d),d}if(e.compilerExtensions||(e.compilerExtensions=[]),e.contains&&e.contains.includes("self"))throw new Error("ERR: contains `self` is not supported at the top-level of a language. See documentation.");return e.classNameAliases=fn(e.classNameAliases||{}),l(e)}function rc(e){return e?e.endsWithParent||rc(e.starts):!1}function Jz(e){return e.variants&&!e.cachedVariants&&(e.cachedVariants=e.variants.map(function(t){return fn(e,{variants:null},t)})),e.cachedVariants?e.cachedVariants:rc(e)?fn(e,{starts:e.starts?fn(e.starts):null}):Object.isFrozen(e)?fn(e):e}var e$="11.8.0";class t$ extends Error{constructor(t,n){super(t),this.name="HTMLInjectionError",this.html=n}}const Da=Kl,nl=fn,rl=Symbol("nomatch"),n$=7,ic=function(e){const t=Object.create(null),n=Object.create(null),r=[];let a=!0;const l="Could not find the language '{}', did you forget to load/include a language module?",u={disableAutodetect:!0,name:"Plain text",contains:[]};let c={ignoreUnescapedHTML:!1,throwUnescapedHTML:!1,noHighlightRe:/^(no-?highlight)$/i,languageDetectRe:/\blang(?:uage)?-([\w-]+)\b/i,classPrefix:"hljs-",cssSelector:"pre code",languages:null,__emitter:mz};function d(K){return c.noHighlightRe.test(K)}function g(K){let re=K.className+" ";re+=K.parentNode?K.parentNode.className:"";const Z=c.languageDetectRe.exec(re);if(Z){const j=z(Z[1]);return j||(tl(l.replace("{}",Z[1])),tl("Falling back to no-highlight mode for this block.",K)),j?Z[1]:"no-highlight"}return re.split(/\s+/).find(j=>d(j)||z(j))}function f(K,re,Z){let j="",U="";typeof re=="object"?(j=K,Z=re.ignoreIllegals,U=re.language):(zn("10.7.0","highlight(lang, code, ...args) has been deprecated."),zn("10.7.0",`Please use highlight(code, options) instead. https://github.com/highlightjs/highlight.js/issues/2277`),U=K,j=re),Z===void 0&&(Z=!0);const Q={code:j,language:U};Y("before:highlight",Q);const X=Q.result?Q.result:h(Q.language,Q.code,Z);return X.code=Q.code,Y("after:highlight",X),X}function h(K,re,Z,j){const U=Object.create(null);function Q(ye,Ce){return ye.keywords[Ce]}function X(){if(!ue.keywords){Te.addText(he);return}let ye=0;ue.keywordPatternRe.lastIndex=0;let Ce=ue.keywordPatternRe.exec(he),ze="";for(;Ce;){ze+=he.substring(ye,Ce.index);const ke=Je.case_insensitive?Ce[0].toLowerCase():Ce[0],Xe=Q(ue,ke);if(Xe){const[Rt,Nn]=Xe;if(Te.addText(ze),ze="",U[ke]=(U[ke]||0)+1,U[ke]<=n$&&(Ge+=Nn),Rt.startsWith("_"))ze+=Ce[0];else{const nt=Je.classNameAliases[Rt]||Rt;W(Ce[0],nt)}}else ze+=Ce[0];ye=ue.keywordPatternRe.lastIndex,Ce=ue.keywordPatternRe.exec(he)}ze+=he.substring(ye),Te.addText(ze)}function le(){if(he==="")return;let ye=null;if(typeof ue.subLanguage=="string"){if(!t[ue.subLanguage]){Te.addText(he);return}ye=h(ue.subLanguage,he,!0,Ne[ue.subLanguage]),Ne[ue.subLanguage]=ye._top}else ye=m(he,ue.subLanguage.length?ue.subLanguage:null);ue.relevance>0&&(Ge+=ye.relevance),Te.__addSublanguage(ye._emitter,ye.language)}function ae(){ue.subLanguage!=null?le():X(),he=""}function W(ye,Ce){ye!==""&&(Te.startScope(Ce),Te.addText(ye),Te.endScope())}function ie(ye,Ce){let ze=1;const ke=Ce.length-1;for(;ze<=ke;){if(!ye._emit[ze]){ze++;continue}const Xe=Je.classNameAliases[ye[ze]]||ye[ze],Rt=Ce[ze];Xe?W(Rt,Xe):(he=Rt,X(),he=""),ze++}}function de(ye,Ce){return ye.scope&&typeof ye.scope=="string"&&Te.openNode(Je.classNameAliases[ye.scope]||ye.scope),ye.beginScope&&(ye.beginScope._wrap?(W(he,Je.classNameAliases[ye.beginScope._wrap]||ye.beginScope._wrap),he=""):ye.beginScope._multi&&(ie(ye.beginScope,Ce),he="")),ue=Object.create(ye,{parent:{value:ue}}),ue}function ce(ye,Ce,ze){let ke=Ez(ye.endRe,ze);if(ke){if(ye["on:end"]){const Xe=new Xs(ye);ye["on:end"](Ce,Xe),Xe.isMatchIgnored&&(ke=!1)}if(ke){for(;ye.endsParent&&ye.parent;)ye=ye.parent;return ye}}if(ye.endsWithParent)return ce(ye.parent,Ce,ze)}function Ee(ye){return ue.matcher.regexIndex===0?(he+=ye[0],1):(mt=!0,0)}function Ie(ye){const Ce=ye[0],ze=ye.rule,ke=new Xs(ze),Xe=[ze.__beforeBegin,ze["on:begin"]];for(const Rt of Xe)if(!!Rt&&(Rt(ye,ke),ke.isMatchIgnored))return Ee(Ce);return ze.skip?he+=Ce:(ze.excludeBegin&&(he+=Ce),ae(),!ze.returnBegin&&!ze.excludeBegin&&(he=Ce)),de(ze,ye),ze.returnBegin?0:Ce.length}function Le(ye){const Ce=ye[0],ze=re.substring(ye.index),ke=ce(ue,ye,ze);if(!ke)return rl;const Xe=ue;ue.endScope&&ue.endScope._wrap?(ae(),W(Ce,ue.endScope._wrap)):ue.endScope&&ue.endScope._multi?(ae(),ie(ue.endScope,ye)):Xe.skip?he+=Ce:(Xe.returnEnd||Xe.excludeEnd||(he+=Ce),ae(),Xe.excludeEnd&&(he=Ce));do ue.scope&&Te.closeNode(),!ue.skip&&!ue.subLanguage&&(Ge+=ue.relevance),ue=ue.parent;while(ue!==ke.parent);return ke.starts&&de(ke.starts,ye),Xe.returnEnd?0:Ce.length}function Ue(){const ye=[];for(let Ce=ue;Ce!==Je;Ce=Ce.parent)Ce.scope&&ye.unshift(Ce.scope);ye.forEach(Ce=>Te.openNode(Ce))}let Ye={};function We(ye,Ce){const ze=Ce&&Ce[0];if(he+=ye,ze==null)return ae(),0;if(Ye.type==="begin"&&Ce.type==="end"&&Ye.index===Ce.index&&ze===""){if(he+=re.slice(Ce.index,Ce.index+1),!a){const ke=new Error(`0 width match regex (${K})`);throw ke.languageName=K,ke.badRule=Ye.rule,ke}return 1}if(Ye=Ce,Ce.type==="begin")return Ie(Ce);if(Ce.type==="illegal"&&!Z){const ke=new Error('Illegal lexeme "'+ze+'" for mode "'+(ue.scope||"")+'"');throw ke.mode=ue,ke}else if(Ce.type==="end"){const ke=Le(Ce);if(ke!==rl)return ke}if(Ce.type==="illegal"&&ze==="")return 1;if($e>1e5&&$e>Ce.index*3)throw new Error("potential infinite loop, way more iterations than matches");return he+=ze,ze.length}const Je=z(K);if(!Je)throw Cn(l.replace("{}",K)),new Error('Unknown language: "'+K+'"');const ot=Zz(Je);let me="",ue=j||ot;const Ne={},Te=new c.__emitter(c);Ue();let he="",Ge=0,Fe=0,$e=0,mt=!1;try{if(Je.__emitTokens)Je.__emitTokens(re,Te);else{for(ue.matcher.considerAll();;){$e++,mt?mt=!1:ue.matcher.considerAll(),ue.matcher.lastIndex=Fe;const ye=ue.matcher.exec(re);if(!ye)break;const Ce=re.substring(Fe,ye.index),ze=We(Ce,ye);Fe=ye.index+ze}We(re.substring(Fe))}return Te.finalize(),me=Te.toHTML(),{language:K,value:me,relevance:Ge,illegal:!1,_emitter:Te,_top:ue}}catch(ye){if(ye.message&&ye.message.includes("Illegal"))return{language:K,value:Da(re),illegal:!0,relevance:0,_illegalBy:{message:ye.message,index:Fe,context:re.slice(Fe-100,Fe+100),mode:ye.mode,resultSoFar:me},_emitter:Te};if(a)return{language:K,value:Da(re),illegal:!1,relevance:0,errorRaised:ye,_emitter:Te,_top:ue};throw ye}}function p(K){const re={value:Da(K),illegal:!1,relevance:0,_top:u,_emitter:new c.__emitter(c)};return re._emitter.addText(K),re}function m(K,re){re=re||c.languages||Object.keys(t);const Z=p(K),j=re.filter(z).filter(L).map(ae=>h(ae,K,!1));j.unshift(Z);const U=j.sort((ae,W)=>{if(ae.relevance!==W.relevance)return W.relevance-ae.relevance;if(ae.language&&W.language){if(z(ae.language).supersetOf===W.language)return 1;if(z(W.language).supersetOf===ae.language)return-1}return 0}),[Q,X]=U,le=Q;return le.secondBest=X,le}function y(K,re,Z){const j=re&&n[re]||Z;K.classList.add("hljs"),K.classList.add(`language-${j}`)}function b(K){let re=null;const Z=g(K);if(d(Z))return;if(Y("before:highlightElement",{el:K,language:Z}),K.children.length>0&&(c.ignoreUnescapedHTML||(console.warn("One of your code blocks includes unescaped HTML. This is a potentially serious security risk."),console.warn("https://github.com/highlightjs/highlight.js/wiki/security"),console.warn("The element with unescaped HTML:"),console.warn(K)),c.throwUnescapedHTML))throw new t$("One of your code blocks includes unescaped HTML.",K.innerHTML);re=K;const j=re.textContent,U=Z?f(j,{language:Z,ignoreIllegals:!0}):m(j);K.innerHTML=U.value,y(K,Z,U.language),K.result={language:U.language,re:U.relevance,relevance:U.relevance},U.secondBest&&(K.secondBest={language:U.secondBest.language,relevance:U.secondBest.relevance}),Y("after:highlightElement",{el:K,result:U,text:j})}function C(K){c=nl(c,K)}const E=()=>{S(),zn("10.6.0","initHighlighting() deprecated. Use highlightAll() now.")};function v(){S(),zn("10.6.0","initHighlightingOnLoad() deprecated. Use highlightAll() now.")}let O=!1;function S(){if(document.readyState==="loading"){O=!0;return}document.querySelectorAll(c.cssSelector).forEach(b)}function A(){O&&S()}typeof window!="undefined"&&window.addEventListener&&window.addEventListener("DOMContentLoaded",A,!1);function P(K,re){let Z=null;try{Z=re(e)}catch(j){if(Cn("Language definition for '{}' could not be registered.".replace("{}",K)),a)Cn(j);else throw j;Z=u}Z.name||(Z.name=K),t[K]=Z,Z.rawDefinition=re.bind(null,e),Z.aliases&&k(Z.aliases,{languageName:K})}function F(K){delete t[K];for(const re of Object.keys(n))n[re]===K&&delete n[re]}function G(){return Object.keys(t)}function z(K){return K=(K||"").toLowerCase(),t[K]||t[n[K]]}function k(K,{languageName:re}){typeof K=="string"&&(K=[K]),K.forEach(Z=>{n[Z.toLowerCase()]=re})}function L(K){const re=z(K);return re&&!re.disableAutodetect}function w(K){K["before:highlightBlock"]&&!K["before:highlightElement"]&&(K["before:highlightElement"]=re=>{K["before:highlightBlock"](Object.assign({block:re.el},re))}),K["after:highlightBlock"]&&!K["after:highlightElement"]&&(K["after:highlightElement"]=re=>{K["after:highlightBlock"](Object.assign({block:re.el},re))})}function M(K){w(K),r.push(K)}function x(K){const re=r.indexOf(K);re!==-1&&r.splice(re,1)}function Y(K,re){const Z=K;r.forEach(function(j){j[Z]&&j[Z](re)})}function J(K){return zn("10.7.0","highlightBlock will be removed entirely in v12.0"),zn("10.7.0","Please use highlightElement now."),b(K)}Object.assign(e,{highlight:f,highlightAuto:m,highlightAll:S,highlightElement:b,highlightBlock:J,configure:C,initHighlighting:E,initHighlightingOnLoad:v,registerLanguage:P,unregisterLanguage:F,listLanguages:G,getLanguage:z,registerAliases:k,autoDetection:L,inherit:nl,addPlugin:M,removePlugin:x}),e.debugMode=function(){a=!1},e.safeMode=function(){a=!0},e.versionString=e$,e.regex={concat:On,lookahead:Ql,either:to,optional:gz,anyNumberOfTimes:fz};for(const K in ii)typeof ii[K]=="object"&&Wl(ii[K]);return Object.assign(e,ii),e},Qn=ic({});Qn.newInstance=()=>ic({});var r$=Qn;Qn.HighlightJS=Qn;Qn.default=Qn;function i$(e){const t="[A-Za-z\u0410-\u042F\u0430-\u044F\u0451\u0401_][A-Za-z\u0410-\u042F\u0430-\u044F\u0451\u0401_0-9]+",a="\u0434\u0430\u043B\u0435\u0435 "+"\u0432\u043E\u0437\u0432\u0440\u0430\u0442 \u0432\u044B\u0437\u0432\u0430\u0442\u044C\u0438\u0441\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435 \u0432\u044B\u043F\u043E\u043B\u043D\u0438\u0442\u044C \u0434\u043B\u044F \u0435\u0441\u043B\u0438 \u0438 \u0438\u0437 \u0438\u043B\u0438 \u0438\u043D\u0430\u0447\u0435 \u0438\u043D\u0430\u0447\u0435\u0435\u0441\u043B\u0438 \u0438\u0441\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435 \u043A\u0430\u0436\u0434\u043E\u0433\u043E \u043A\u043E\u043D\u0435\u0446\u0435\u0441\u043B\u0438 \u043A\u043E\u043D\u0435\u0446\u043F\u043E\u043F\u044B\u0442\u043A\u0438 \u043A\u043E\u043D\u0435\u0446\u0446\u0438\u043A\u043B\u0430 \u043D\u0435 \u043D\u043E\u0432\u044B\u0439 \u043F\u0435\u0440\u0435\u0439\u0442\u0438 \u043F\u0435\u0440\u0435\u043C \u043F\u043E \u043F\u043E\u043A\u0430 \u043F\u043E\u043F\u044B\u0442\u043A\u0430 \u043F\u0440\u0435\u0440\u0432\u0430\u0442\u044C \u043F\u0440\u043E\u0434\u043E\u043B\u0436\u0438\u0442\u044C \u0442\u043E\u0433\u0434\u0430 \u0446\u0438\u043A\u043B \u044D\u043A\u0441\u043F\u043E\u0440\u0442 ",c="\u0437\u0430\u0433\u0440\u0443\u0437\u0438\u0442\u044C\u0438\u0437\u0444\u0430\u0439\u043B\u0430 "+"\u0432\u0435\u0431\u043A\u043B\u0438\u0435\u043D\u0442 \u0432\u043C\u0435\u0441\u0442\u043E \u0432\u043D\u0435\u0448\u043D\u0435\u0435\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435 \u043A\u043B\u0438\u0435\u043D\u0442 \u043A\u043E\u043D\u0435\u0446\u043E\u0431\u043B\u0430\u0441\u0442\u0438 \u043C\u043E\u0431\u0438\u043B\u044C\u043D\u043E\u0435\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043A\u043B\u0438\u0435\u043D\u0442 \u043C\u043E\u0431\u0438\u043B\u044C\u043D\u043E\u0435\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0441\u0435\u0440\u0432\u0435\u0440 \u043D\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0435 \u043D\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0435\u043D\u0430\u0441\u0435\u0440\u0432\u0435\u0440\u0435 \u043D\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0435\u043D\u0430\u0441\u0435\u0440\u0432\u0435\u0440\u0435\u0431\u0435\u0437\u043A\u043E\u043D\u0442\u0435\u043A\u0441\u0442\u0430 \u043D\u0430\u0441\u0435\u0440\u0432\u0435\u0440\u0435 \u043D\u0430\u0441\u0435\u0440\u0432\u0435\u0440\u0435\u0431\u0435\u0437\u043A\u043E\u043D\u0442\u0435\u043A\u0441\u0442\u0430 \u043E\u0431\u043B\u0430\u0441\u0442\u044C \u043F\u0435\u0440\u0435\u0434 \u043F\u043E\u0441\u043B\u0435 \u0441\u0435\u0440\u0432\u0435\u0440 \u0442\u043E\u043B\u0441\u0442\u044B\u0439\u043A\u043B\u0438\u0435\u043D\u0442\u043E\u0431\u044B\u0447\u043D\u043E\u0435\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u0435 \u0442\u043E\u043B\u0441\u0442\u044B\u0439\u043A\u043B\u0438\u0435\u043D\u0442\u0443\u043F\u0440\u0430\u0432\u043B\u044F\u0435\u043C\u043E\u0435\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u0435 \u0442\u043E\u043D\u043A\u0438\u0439\u043A\u043B\u0438\u0435\u043D\u0442 ",d="\u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u0435\u043B\u044C\u0441\u0442\u0440\u0430\u043D\u0438\u0446 \u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u0435\u043B\u044C\u0441\u0442\u0440\u043E\u043A \u0441\u0438\u043C\u0432\u043E\u043B\u0442\u0430\u0431\u0443\u043B\u044F\u0446\u0438\u0438 ",g="ansitooem oemtoansi \u0432\u0432\u0435\u0441\u0442\u0438\u0432\u0438\u0434\u0441\u0443\u0431\u043A\u043E\u043D\u0442\u043E \u0432\u0432\u0435\u0441\u0442\u0438\u043F\u0435\u0440\u0435\u0447\u0438\u0441\u043B\u0435\u043D\u0438\u0435 \u0432\u0432\u0435\u0441\u0442\u0438\u043F\u0435\u0440\u0438\u043E\u0434 \u0432\u0432\u0435\u0441\u0442\u0438\u043F\u043B\u0430\u043D\u0441\u0447\u0435\u0442\u043E\u0432 \u0432\u044B\u0431\u0440\u0430\u043D\u043D\u044B\u0439\u043F\u043B\u0430\u043D\u0441\u0447\u0435\u0442\u043E\u0432 \u0434\u0430\u0442\u0430\u0433\u043E\u0434 \u0434\u0430\u0442\u0430\u043C\u0435\u0441\u044F\u0446 \u0434\u0430\u0442\u0430\u0447\u0438\u0441\u043B\u043E \u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0432\u0441\u0442\u0440\u043E\u043A\u0443 \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0438\u0437\u0441\u0442\u0440\u043E\u043A\u0438 \u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0438\u0431 \u043A\u0430\u0442\u0430\u043B\u043E\u0433\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043A\u043E\u0434\u0441\u0438\u043C\u0432 \u043A\u043E\u043D\u0433\u043E\u0434\u0430 \u043A\u043E\u043D\u0435\u0446\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u0431\u0438 \u043A\u043E\u043D\u0435\u0446\u0440\u0430\u0441\u0441\u0447\u0438\u0442\u0430\u043D\u043D\u043E\u0433\u043E\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u0431\u0438 \u043A\u043E\u043D\u0435\u0446\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u0438\u043D\u0442\u0435\u0440\u0432\u0430\u043B\u0430 \u043A\u043E\u043D\u043A\u0432\u0430\u0440\u0442\u0430\u043B\u0430 \u043A\u043E\u043D\u043C\u0435\u0441\u044F\u0446\u0430 \u043A\u043E\u043D\u043D\u0435\u0434\u0435\u043B\u0438 \u043B\u043E\u0433 \u043B\u043E\u043310 \u043C\u0430\u043A\u0441\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0435\u043A\u043E\u043B\u0438\u0447\u0435\u0441\u0442\u0432\u043E\u0441\u0443\u0431\u043A\u043E\u043D\u0442\u043E \u043D\u0430\u0437\u0432\u0430\u043D\u0438\u0435\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430 \u043D\u0430\u0437\u0432\u0430\u043D\u0438\u0435\u043D\u0430\u0431\u043E\u0440\u0430\u043F\u0440\u0430\u0432 \u043D\u0430\u0437\u043D\u0430\u0447\u0438\u0442\u044C\u0432\u0438\u0434 \u043D\u0430\u0437\u043D\u0430\u0447\u0438\u0442\u044C\u0441\u0447\u0435\u0442 \u043D\u0430\u0439\u0442\u0438\u0441\u0441\u044B\u043B\u043A\u0438 \u043D\u0430\u0447\u0430\u043B\u043E\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u0431\u0438 \u043D\u0430\u0447\u0430\u043B\u043E\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u0438\u043D\u0442\u0435\u0440\u0432\u0430\u043B\u0430 \u043D\u0430\u0447\u0433\u043E\u0434\u0430 \u043D\u0430\u0447\u043A\u0432\u0430\u0440\u0442\u0430\u043B\u0430 \u043D\u0430\u0447\u043C\u0435\u0441\u044F\u0446\u0430 \u043D\u0430\u0447\u043D\u0435\u0434\u0435\u043B\u0438 \u043D\u043E\u043C\u0435\u0440\u0434\u043D\u044F\u0433\u043E\u0434\u0430 \u043D\u043E\u043C\u0435\u0440\u0434\u043D\u044F\u043D\u0435\u0434\u0435\u043B\u0438 \u043D\u043E\u043C\u0435\u0440\u043D\u0435\u0434\u0435\u043B\u0438\u0433\u043E\u0434\u0430 \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u043E\u0436\u0438\u0434\u0430\u043D\u0438\u044F \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0439\u0436\u0443\u0440\u043D\u0430\u043B\u0440\u0430\u0441\u0447\u0435\u0442\u043E\u0432 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0439\u043F\u043B\u0430\u043D\u0441\u0447\u0435\u0442\u043E\u0432 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0439\u044F\u0437\u044B\u043A \u043E\u0447\u0438\u0441\u0442\u0438\u0442\u044C\u043E\u043A\u043D\u043E\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u0439 \u043F\u0435\u0440\u0438\u043E\u0434\u0441\u0442\u0440 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0434\u0430\u0442\u0443\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u043E\u0442\u0431\u043E\u0440\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043F\u043E\u0437\u0438\u0446\u0438\u044E\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043F\u0443\u0441\u0442\u043E\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0442\u0430 \u043F\u0440\u0435\u0444\u0438\u043A\u0441\u0430\u0432\u0442\u043E\u043D\u0443\u043C\u0435\u0440\u0430\u0446\u0438\u0438 \u043F\u0440\u043E\u043F\u0438\u0441\u044C \u043F\u0443\u0441\u0442\u043E\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u0440\u0430\u0437\u043C \u0440\u0430\u0437\u043E\u0431\u0440\u0430\u0442\u044C\u043F\u043E\u0437\u0438\u0446\u0438\u044E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0440\u0430\u0441\u0441\u0447\u0438\u0442\u0430\u0442\u044C\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u044B\u043D\u0430 \u0440\u0430\u0441\u0441\u0447\u0438\u0442\u0430\u0442\u044C\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u044B\u043F\u043E \u0441\u0438\u043C\u0432 \u0441\u043E\u0437\u0434\u0430\u0442\u044C\u043E\u0431\u044A\u0435\u043A\u0442 \u0441\u0442\u0430\u0442\u0443\u0441\u0432\u043E\u0437\u0432\u0440\u0430\u0442\u0430 \u0441\u0442\u0440\u043A\u043E\u043B\u0438\u0447\u0435\u0441\u0442\u0432\u043E\u0441\u0442\u0440\u043E\u043A \u0441\u0444\u043E\u0440\u043C\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u043F\u043E\u0437\u0438\u0446\u0438\u044E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0441\u0447\u0435\u0442\u043F\u043E\u043A\u043E\u0434\u0443 \u0442\u0435\u043A\u0443\u0449\u0435\u0435\u0432\u0440\u0435\u043C\u044F \u0442\u0438\u043F\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u0442\u0438\u043F\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u0441\u0442\u0440 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0442\u0430\u043D\u0430 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0442\u0430\u043F\u043E \u0444\u0438\u043A\u0441\u0448\u0430\u0431\u043B\u043E\u043D \u0448\u0430\u0431\u043B\u043E\u043D ",f="acos asin atan base64\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 base64\u0441\u0442\u0440\u043E\u043A\u0430 cos exp log log10 pow sin sqrt tan xml\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 xml\u0441\u0442\u0440\u043E\u043A\u0430 xml\u0442\u0438\u043F xml\u0442\u0438\u043F\u0437\u043D\u0447 \u0430\u043A\u0442\u0438\u0432\u043D\u043E\u0435\u043E\u043A\u043D\u043E \u0431\u0435\u0437\u043E\u043F\u0430\u0441\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C \u0431\u0435\u0437\u043E\u043F\u0430\u0441\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C\u0440\u0430\u0437\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u0434\u0430\u043D\u043D\u044B\u0445 \u0431\u0443\u043B\u0435\u0432\u043E \u0432\u0432\u0435\u0441\u0442\u0438\u0434\u0430\u0442\u0443 \u0432\u0432\u0435\u0441\u0442\u0438\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u0432\u0432\u0435\u0441\u0442\u0438\u0441\u0442\u0440\u043E\u043A\u0443 \u0432\u0432\u0435\u0441\u0442\u0438\u0447\u0438\u0441\u043B\u043E \u0432\u043E\u0437\u043C\u043E\u0436\u043D\u043E\u0441\u0442\u044C\u0447\u0442\u0435\u043D\u0438\u044Fxml \u0432\u043E\u043F\u0440\u043E\u0441 \u0432\u043E\u0441\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u0432\u0440\u0435\u0433 \u0432\u044B\u0433\u0440\u0443\u0437\u0438\u0442\u044C\u0436\u0443\u0440\u043D\u0430\u043B\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0432\u044B\u043F\u043E\u043B\u043D\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0443\u043E\u043F\u043E\u0432\u0435\u0449\u0435\u043D\u0438\u044F \u0432\u044B\u043F\u043E\u043B\u043D\u0438\u0442\u044C\u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0443\u043F\u0440\u0430\u0432\u0434\u043E\u0441\u0442\u0443\u043F\u0430 \u0432\u044B\u0447\u0438\u0441\u043B\u0438\u0442\u044C \u0433\u043E\u0434 \u0434\u0430\u043D\u043D\u044B\u0435\u0444\u043E\u0440\u043C\u044B\u0432\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u0434\u0430\u0442\u0430 \u0434\u0435\u043D\u044C \u0434\u0435\u043D\u044C\u0433\u043E\u0434\u0430 \u0434\u0435\u043D\u044C\u043D\u0435\u0434\u0435\u043B\u0438 \u0434\u043E\u0431\u0430\u0432\u0438\u0442\u044C\u043C\u0435\u0441\u044F\u0446 \u0437\u0430\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0434\u0430\u043D\u043D\u044B\u0435\u0434\u043B\u044F\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u0437\u0430\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0440\u0430\u0431\u043E\u0442\u0443\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u0437\u0430\u0432\u0435\u0440\u0448\u0438\u0442\u044C\u0440\u0430\u0431\u043E\u0442\u0443\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u0437\u0430\u0433\u0440\u0443\u0437\u0438\u0442\u044C\u0432\u043D\u0435\u0448\u043D\u044E\u044E\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u0443 \u0437\u0430\u043A\u0440\u044B\u0442\u044C\u0441\u043F\u0440\u0430\u0432\u043A\u0443 \u0437\u0430\u043F\u0438\u0441\u0430\u0442\u044Cjson \u0437\u0430\u043F\u0438\u0441\u0430\u0442\u044Cxml \u0437\u0430\u043F\u0438\u0441\u0430\u0442\u044C\u0434\u0430\u0442\u0443json \u0437\u0430\u043F\u0438\u0441\u044C\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0437\u0430\u043F\u043E\u043B\u043D\u0438\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u0441\u0432\u043E\u0439\u0441\u0442\u0432 \u0437\u0430\u043F\u0440\u043E\u0441\u0438\u0442\u044C\u0440\u0430\u0437\u0440\u0435\u0448\u0435\u043D\u0438\u0435\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u0437\u0430\u043F\u0443\u0441\u0442\u0438\u0442\u044C\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u0435 \u0437\u0430\u043F\u0443\u0441\u0442\u0438\u0442\u044C\u0441\u0438\u0441\u0442\u0435\u043C\u0443 \u0437\u0430\u0444\u0438\u043A\u0441\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u044E \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0432\u0434\u0430\u043D\u043D\u044B\u0435\u0444\u043E\u0440\u043C\u044B \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0432\u0441\u0442\u0440\u043E\u043A\u0443\u0432\u043D\u0443\u0442\u0440 \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0432\u0444\u0430\u0439\u043B \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u043E \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0438\u0437\u0441\u0442\u0440\u043E\u043A\u0438\u0432\u043D\u0443\u0442\u0440 \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0438\u0437\u0444\u0430\u0439\u043B\u0430 \u0438\u0437xml\u0442\u0438\u043F\u0430 \u0438\u043C\u043F\u043E\u0440\u0442\u043C\u043E\u0434\u0435\u043B\u0438xdto \u0438\u043C\u044F\u043A\u043E\u043C\u043F\u044C\u044E\u0442\u0435\u0440\u0430 \u0438\u043C\u044F\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u0438\u043D\u0438\u0446\u0438\u0430\u043B\u0438\u0437\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u044B\u0435\u0434\u0430\u043D\u043D\u044B\u0435 \u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044F\u043E\u0431\u043E\u0448\u0438\u0431\u043A\u0435 \u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0431\u0438\u0431\u043B\u0438\u043E\u0442\u0435\u043A\u0438\u043C\u043E\u0431\u0438\u043B\u044C\u043D\u043E\u0433\u043E\u0443\u0441\u0442\u0440\u043E\u0439\u0441\u0442\u0432\u0430 \u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u044B\u0445\u0444\u0430\u0439\u043B\u043E\u0432 \u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u043E\u0432 \u043A\u0430\u0442\u0430\u043B\u043E\u0433\u043F\u0440\u043E\u0433\u0440\u0430\u043C\u043C\u044B \u043A\u043E\u0434\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0441\u0442\u0440\u043E\u043A\u0443 \u043A\u043E\u0434\u043B\u043E\u043A\u0430\u043B\u0438\u0437\u0430\u0446\u0438\u0438\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043A\u043E\u0434\u0441\u0438\u043C\u0432\u043E\u043B\u0430 \u043A\u043E\u043C\u0430\u043D\u0434\u0430\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u043A\u043E\u043D\u0435\u0446\u0433\u043E\u0434\u0430 \u043A\u043E\u043D\u0435\u0446\u0434\u043D\u044F \u043A\u043E\u043D\u0435\u0446\u043A\u0432\u0430\u0440\u0442\u0430\u043B\u0430 \u043A\u043E\u043D\u0435\u0446\u043C\u0435\u0441\u044F\u0446\u0430 \u043A\u043E\u043D\u0435\u0446\u043C\u0438\u043D\u0443\u0442\u044B \u043A\u043E\u043D\u0435\u0446\u043D\u0435\u0434\u0435\u043B\u0438 \u043A\u043E\u043D\u0435\u0446\u0447\u0430\u0441\u0430 \u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u044F\u0431\u0430\u0437\u044B\u0434\u0430\u043D\u043D\u044B\u0445\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0430\u0434\u0438\u043D\u0430\u043C\u0438\u0447\u0435\u0441\u043A\u0438 \u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u044F\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0430 \u043A\u043E\u043F\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0434\u0430\u043D\u043D\u044B\u0435\u0444\u043E\u0440\u043C\u044B \u043A\u043E\u043F\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0444\u0430\u0439\u043B \u043A\u0440\u0430\u0442\u043A\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043E\u0448\u0438\u0431\u043A\u0438 \u043B\u0435\u0432 \u043C\u0430\u043A\u0441 \u043C\u0435\u0441\u0442\u043D\u043E\u0435\u0432\u0440\u0435\u043C\u044F \u043C\u0435\u0441\u044F\u0446 \u043C\u0438\u043D \u043C\u0438\u043D\u0443\u0442\u0430 \u043C\u043E\u043D\u043E\u043F\u043E\u043B\u044C\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C \u043D\u0430\u0439\u0442\u0438 \u043D\u0430\u0439\u0442\u0438\u043D\u0435\u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0435\u0441\u0438\u043C\u0432\u043E\u043B\u044Bxml \u043D\u0430\u0439\u0442\u0438\u043E\u043A\u043D\u043E\u043F\u043E\u043D\u0430\u0432\u0438\u0433\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0441\u0441\u044B\u043B\u043A\u0435 \u043D\u0430\u0439\u0442\u0438\u043F\u043E\u043C\u0435\u0447\u0435\u043D\u043D\u044B\u0435\u043D\u0430\u0443\u0434\u0430\u043B\u0435\u043D\u0438\u0435 \u043D\u0430\u0439\u0442\u0438\u043F\u043E\u0441\u0441\u044B\u043B\u043A\u0430\u043C \u043D\u0430\u0439\u0442\u0438\u0444\u0430\u0439\u043B\u044B \u043D\u0430\u0447\u0430\u043B\u043E\u0433\u043E\u0434\u0430 \u043D\u0430\u0447\u0430\u043B\u043E\u0434\u043D\u044F \u043D\u0430\u0447\u0430\u043B\u043E\u043A\u0432\u0430\u0440\u0442\u0430\u043B\u0430 \u043D\u0430\u0447\u0430\u043B\u043E\u043C\u0435\u0441\u044F\u0446\u0430 \u043D\u0430\u0447\u0430\u043B\u043E\u043C\u0438\u043D\u0443\u0442\u044B \u043D\u0430\u0447\u0430\u043B\u043E\u043D\u0435\u0434\u0435\u043B\u0438 \u043D\u0430\u0447\u0430\u043B\u043E\u0447\u0430\u0441\u0430 \u043D\u0430\u0447\u0430\u0442\u044C\u0437\u0430\u043F\u0440\u043E\u0441\u0440\u0430\u0437\u0440\u0435\u0448\u0435\u043D\u0438\u044F\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043D\u0430\u0447\u0430\u0442\u044C\u0437\u0430\u043F\u0443\u0441\u043A\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u043D\u0430\u0447\u0430\u0442\u044C\u043A\u043E\u043F\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u0435\u0444\u0430\u0439\u043B\u0430 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u0435\u0440\u0435\u043C\u0435\u0449\u0435\u043D\u0438\u0435\u0444\u0430\u0439\u043B\u0430 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435\u0432\u043D\u0435\u0448\u043D\u0435\u0439\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u044B \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0435\u0439 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u0444\u0430\u0439\u043B\u0430\u043C\u0438 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u0438\u0441\u043A\u0444\u0430\u0439\u043B\u043E\u0432 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u043B\u0443\u0447\u0435\u043D\u0438\u0435\u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0430\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u044B\u0445\u0444\u0430\u0439\u043B\u043E\u0432 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u043B\u0443\u0447\u0435\u043D\u0438\u0435\u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0430\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u043E\u0432 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u043B\u0443\u0447\u0435\u043D\u0438\u0435\u0440\u0430\u0431\u043E\u0447\u0435\u0433\u043E\u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u043B\u0443\u0447\u0435\u043D\u0438\u0435\u0444\u0430\u0439\u043B\u043E\u0432 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u043C\u0435\u0449\u0435\u043D\u0438\u0435\u0444\u0430\u0439\u043B\u0430 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u043C\u0435\u0449\u0435\u043D\u0438\u0435\u0444\u0430\u0439\u043B\u043E\u0432 \u043D\u0430\u0447\u0430\u0442\u044C\u0441\u043E\u0437\u0434\u0430\u043D\u0438\u0435\u0434\u0432\u043E\u0438\u0447\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445\u0438\u0437\u0444\u0430\u0439\u043B\u0430 \u043D\u0430\u0447\u0430\u0442\u044C\u0441\u043E\u0437\u0434\u0430\u043D\u0438\u0435\u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0430 \u043D\u0430\u0447\u0430\u0442\u044C\u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u044E \u043D\u0430\u0447\u0430\u0442\u044C\u0443\u0434\u0430\u043B\u0435\u043D\u0438\u0435\u0444\u0430\u0439\u043B\u043E\u0432 \u043D\u0430\u0447\u0430\u0442\u044C\u0443\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0443\u0432\u043D\u0435\u0448\u043D\u0435\u0439\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u044B \u043D\u0430\u0447\u0430\u0442\u044C\u0443\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0443\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0435\u0439 \u043D\u0430\u0447\u0430\u0442\u044C\u0443\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0443\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u0444\u0430\u0439\u043B\u0430\u043C\u0438 \u043D\u0435\u0434\u0435\u043B\u044F\u0433\u043E\u0434\u0430 \u043D\u0435\u043E\u0431\u0445\u043E\u0434\u0438\u043C\u043E\u0441\u0442\u044C\u0437\u0430\u0432\u0435\u0440\u0448\u0435\u043D\u0438\u044F\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F \u043D\u043E\u043C\u0435\u0440\u0441\u0435\u0430\u043D\u0441\u0430\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043D\u043E\u043C\u0435\u0440\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043D\u0440\u0435\u0433 \u043D\u0441\u0442\u0440 \u043E\u0431\u043D\u043E\u0432\u0438\u0442\u044C\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441 \u043E\u0431\u043D\u043E\u0432\u0438\u0442\u044C\u043D\u0443\u043C\u0435\u0440\u0430\u0446\u0438\u044E\u043E\u0431\u044A\u0435\u043A\u0442\u043E\u0432 \u043E\u0431\u043D\u043E\u0432\u0438\u0442\u044C\u043F\u043E\u0432\u0442\u043E\u0440\u043D\u043E\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u0443\u0435\u043C\u044B\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u043F\u0440\u0435\u0440\u044B\u0432\u0430\u043D\u0438\u044F\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043E\u0431\u044A\u0435\u0434\u0438\u043D\u0438\u0442\u044C\u0444\u0430\u0439\u043B\u044B \u043E\u043A\u0440 \u043E\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u043E\u0448\u0438\u0431\u043A\u0438 \u043E\u043F\u043E\u0432\u0435\u0441\u0442\u0438\u0442\u044C \u043E\u043F\u043E\u0432\u0435\u0441\u0442\u0438\u0442\u044C\u043E\u0431\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0438\u0438 \u043E\u0442\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u0447\u0438\u043A\u0437\u0430\u043F\u0440\u043E\u0441\u0430\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043B\u0438\u0435\u043D\u0442\u0430\u043B\u0438\u0446\u0435\u043D\u0437\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u043E\u0442\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u0447\u0438\u043A\u043E\u0436\u0438\u0434\u0430\u043D\u0438\u044F \u043E\u0442\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u0447\u0438\u043A\u043E\u043F\u043E\u0432\u0435\u0449\u0435\u043D\u0438\u044F \u043E\u0442\u043A\u0440\u044B\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u043E\u0442\u043A\u0440\u044B\u0442\u044C\u0438\u043D\u0434\u0435\u043A\u0441\u0441\u043F\u0440\u0430\u0432\u043A\u0438 \u043E\u0442\u043A\u0440\u044B\u0442\u044C\u0441\u043E\u0434\u0435\u0440\u0436\u0430\u043D\u0438\u0435\u0441\u043F\u0440\u0430\u0432\u043A\u0438 \u043E\u0442\u043A\u0440\u044B\u0442\u044C\u0441\u043F\u0440\u0430\u0432\u043A\u0443 \u043E\u0442\u043A\u0440\u044B\u0442\u044C\u0444\u043E\u0440\u043C\u0443 \u043E\u0442\u043A\u0440\u044B\u0442\u044C\u0444\u043E\u0440\u043C\u0443\u043C\u043E\u0434\u0430\u043B\u044C\u043D\u043E \u043E\u0442\u043C\u0435\u043D\u0438\u0442\u044C\u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u044E \u043E\u0447\u0438\u0441\u0442\u0438\u0442\u044C\u0436\u0443\u0440\u043D\u0430\u043B\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043E\u0447\u0438\u0441\u0442\u0438\u0442\u044C\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043E\u0447\u0438\u0441\u0442\u0438\u0442\u044C\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0434\u043E\u0441\u0442\u0443\u043F\u0430 \u043F\u0435\u0440\u0435\u0439\u0442\u0438\u043F\u043E\u043D\u0430\u0432\u0438\u0433\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0441\u0441\u044B\u043B\u043A\u0435 \u043F\u0435\u0440\u0435\u043C\u0435\u0441\u0442\u0438\u0442\u044C\u0444\u0430\u0439\u043B \u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u0432\u043D\u0435\u0448\u043D\u044E\u044E\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u0443 \u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u0447\u0438\u043A\u0437\u0430\u043F\u0440\u043E\u0441\u0430\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043B\u0438\u0435\u043D\u0442\u0430\u043B\u0438\u0446\u0435\u043D\u0437\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u0447\u0438\u043A\u043E\u0436\u0438\u0434\u0430\u043D\u0438\u044F \u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u0447\u0438\u043A\u043E\u043F\u043E\u0432\u0435\u0449\u0435\u043D\u0438\u044F \u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0435\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0435\u0439 \u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0435\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u0444\u0430\u0439\u043B\u0430\u043C\u0438 \u043F\u043E\u0434\u0440\u043E\u0431\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043E\u0448\u0438\u0431\u043A\u0438 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0432\u0432\u043E\u0434\u0434\u0430\u0442\u044B \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0432\u0432\u043E\u0434\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0432\u0432\u043E\u0434\u0441\u0442\u0440\u043E\u043A\u0438 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0432\u0432\u043E\u0434\u0447\u0438\u0441\u043B\u0430 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0432\u043E\u043F\u0440\u043E\u0441 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044E\u043E\u0431\u043E\u0448\u0438\u0431\u043A\u0435 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u043D\u0430\u043A\u0430\u0440\u0442\u0435 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u043E\u043F\u043E\u0432\u0435\u0449\u0435\u043D\u0438\u0435\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u043F\u0440\u0435\u0434\u0443\u043F\u0440\u0435\u0436\u0434\u0435\u043D\u0438\u0435 \u043F\u043E\u043B\u043D\u043E\u0435\u0438\u043C\u044F\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044Ccom\u043E\u0431\u044A\u0435\u043A\u0442 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044Cxml\u0442\u0438\u043F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0430\u0434\u0440\u0435\u0441\u043F\u043E\u043C\u0435\u0441\u0442\u043E\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u044E \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u0443\u0441\u0435\u0430\u043D\u0441\u043E\u0432 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u0437\u0430\u0432\u0435\u0440\u0448\u0435\u043D\u0438\u044F\u0441\u043F\u044F\u0449\u0435\u0433\u043E\u0441\u0435\u0430\u043D\u0441\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u0437\u0430\u0441\u044B\u043F\u0430\u043D\u0438\u044F\u043F\u0430\u0441\u0441\u0438\u0432\u043D\u043E\u0433\u043E\u0441\u0435\u0430\u043D\u0441\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u043E\u0436\u0438\u0434\u0430\u043D\u0438\u044F\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0434\u0430\u043D\u043D\u044B\u0435\u0432\u044B\u0431\u043E\u0440\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0434\u043E\u043F\u043E\u043B\u043D\u0438\u0442\u0435\u043B\u044C\u043D\u044B\u0439\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u043A\u043B\u0438\u0435\u043D\u0442\u0430\u043B\u0438\u0446\u0435\u043D\u0437\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0435\u043A\u043E\u0434\u044B\u043B\u043E\u043A\u0430\u043B\u0438\u0437\u0430\u0446\u0438\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0435\u0447\u0430\u0441\u043E\u0432\u044B\u0435\u043F\u043E\u044F\u0441\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u043E\u0442\u0431\u043E\u0440\u0430\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u0434\u0435\u043D\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0440\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u0437\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u043E\u0433\u043E\u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u043C\u044F\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u043E\u0433\u043E\u0444\u0430\u0439\u043B\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u043C\u044F\u043A\u043B\u0438\u0435\u043D\u0442\u0430\u043B\u0438\u0446\u0435\u043D\u0437\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044E\u044D\u043A\u0440\u0430\u043D\u043E\u0432\u043A\u043B\u0438\u0435\u043D\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0441\u043E\u0431\u044B\u0442\u0438\u044F\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043A\u0440\u0430\u0442\u043A\u0438\u0439\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043C\u0430\u043A\u0435\u0442\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043C\u0430\u0441\u043A\u0443\u0432\u0441\u0435\u0444\u0430\u0439\u043B\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043C\u0430\u0441\u043A\u0443\u0432\u0441\u0435\u0444\u0430\u0439\u043B\u044B\u043A\u043B\u0438\u0435\u043D\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043C\u0430\u0441\u043A\u0443\u0432\u0441\u0435\u0444\u0430\u0439\u043B\u044B\u0441\u0435\u0440\u0432\u0435\u0440\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043C\u0435\u0441\u0442\u043E\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043F\u043E\u0430\u0434\u0440\u0435\u0441\u0443 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043C\u0438\u043D\u0438\u043C\u0430\u043B\u044C\u043D\u0443\u044E\u0434\u043B\u0438\u043D\u0443\u043F\u0430\u0440\u043E\u043B\u0435\u0439\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u0435\u0439 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043D\u0430\u0432\u0438\u0433\u0430\u0446\u0438\u043E\u043D\u043D\u0443\u044E\u0441\u0441\u044B\u043B\u043A\u0443 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043D\u0430\u0432\u0438\u0433\u0430\u0446\u0438\u043E\u043D\u043D\u0443\u044E\u0441\u0441\u044B\u043B\u043A\u0443\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438\u0431\u0430\u0437\u044B\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u0431\u0449\u0438\u0439\u043C\u0430\u043A\u0435\u0442 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u0431\u0449\u0443\u044E\u0444\u043E\u0440\u043C\u0443 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u043A\u043D\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u043F\u0435\u0440\u0430\u0442\u0438\u0432\u043D\u0443\u044E\u043E\u0442\u043C\u0435\u0442\u043A\u0443\u0432\u0440\u0435\u043C\u0435\u043D\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u0442\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435\u0431\u0435\u0437\u043E\u043F\u0430\u0441\u043D\u043E\u0433\u043E\u0440\u0435\u0436\u0438\u043C\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0444\u0443\u043D\u043A\u0446\u0438\u043E\u043D\u0430\u043B\u044C\u043D\u044B\u0445\u043E\u043F\u0446\u0438\u0439\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043F\u043E\u043B\u043D\u043E\u0435\u0438\u043C\u044F\u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u043E\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u043D\u0430\u0432\u0438\u0433\u0430\u0446\u0438\u043E\u043D\u043D\u044B\u0445\u0441\u0441\u044B\u043B\u043E\u043A \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0443\u0441\u043B\u043E\u0436\u043D\u043E\u0441\u0442\u0438\u043F\u0430\u0440\u043E\u043B\u0435\u0439\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u0435\u0439 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u0435\u043B\u044C\u043F\u0443\u0442\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u0435\u043B\u044C\u043F\u0443\u0442\u0438\u043A\u043B\u0438\u0435\u043D\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u0435\u043B\u044C\u043F\u0443\u0442\u0438\u0441\u0435\u0440\u0432\u0435\u0440\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u0435\u0430\u043D\u0441\u044B\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u043A\u043E\u0440\u043E\u0441\u0442\u044C\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044E \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u043E\u043E\u0442\u0432\u0435\u0442\u0441\u0442\u0432\u0438\u0435\u043E\u0431\u044A\u0435\u043A\u0442\u0430\u0438\u0444\u043E\u0440\u043C\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u043E\u0441\u0442\u0430\u0432\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430odata \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u0442\u0440\u0443\u043A\u0442\u0443\u0440\u0443\u0445\u0440\u0430\u043D\u0435\u043D\u0438\u044F\u0431\u0430\u0437\u044B\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0442\u0435\u043A\u0443\u0449\u0438\u0439\u0441\u0435\u0430\u043D\u0441\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0444\u0430\u0439\u043B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0444\u0430\u0439\u043B\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0444\u043E\u0440\u043C\u0443 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0444\u0443\u043D\u043A\u0446\u0438\u043E\u043D\u0430\u043B\u044C\u043D\u0443\u044E\u043E\u043F\u0446\u0438\u044E \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0444\u0443\u043D\u043A\u0446\u0438\u043E\u043D\u0430\u043B\u044C\u043D\u0443\u044E\u043E\u043F\u0446\u0438\u044E\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0447\u0430\u0441\u043E\u0432\u043E\u0439\u043F\u043E\u044F\u0441\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u0438\u043E\u0441 \u043F\u043E\u043C\u0435\u0441\u0442\u0438\u0442\u044C\u0432\u043E\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u043E\u0435\u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435 \u043F\u043E\u043C\u0435\u0441\u0442\u0438\u0442\u044C\u0444\u0430\u0439\u043B \u043F\u043E\u043C\u0435\u0441\u0442\u0438\u0442\u044C\u0444\u0430\u0439\u043B\u044B \u043F\u0440\u0430\u0432 \u043F\u0440\u0430\u0432\u043E\u0434\u043E\u0441\u0442\u0443\u043F\u0430 \u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u043E\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043A\u043E\u0434\u0430\u043B\u043E\u043A\u0430\u043B\u0438\u0437\u0430\u0446\u0438\u0438 \u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0435\u0440\u0438\u043E\u0434\u0430 \u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0430\u0432\u0430 \u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0441\u043E\u0431\u044B\u0442\u0438\u044F\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0447\u0430\u0441\u043E\u0432\u043E\u0433\u043E\u043F\u043E\u044F\u0441\u0430 \u043F\u0440\u0435\u0434\u0443\u043F\u0440\u0435\u0436\u0434\u0435\u043D\u0438\u0435 \u043F\u0440\u0435\u043A\u0440\u0430\u0442\u0438\u0442\u044C\u0440\u0430\u0431\u043E\u0442\u0443\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u043F\u0440\u0438\u0432\u0438\u043B\u0435\u0433\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C \u043F\u0440\u043E\u0434\u043E\u043B\u0436\u0438\u0442\u044C\u0432\u044B\u0437\u043E\u0432 \u043F\u0440\u043E\u0447\u0438\u0442\u0430\u0442\u044Cjson \u043F\u0440\u043E\u0447\u0438\u0442\u0430\u0442\u044Cxml \u043F\u0440\u043E\u0447\u0438\u0442\u0430\u0442\u044C\u0434\u0430\u0442\u0443json \u043F\u0443\u0441\u0442\u0430\u044F\u0441\u0442\u0440\u043E\u043A\u0430 \u0440\u0430\u0431\u043E\u0447\u0438\u0439\u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u0440\u0430\u0437\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0434\u0430\u043D\u043D\u044B\u0435\u0434\u043B\u044F\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u044C\u0444\u0430\u0439\u043B \u0440\u0430\u0437\u043E\u0440\u0432\u0430\u0442\u044C\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435\u0441\u0432\u043D\u0435\u0448\u043D\u0438\u043C\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u043E\u043C\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043A\u043E\u0434\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0441\u0442\u0440\u043E\u043A\u0443 \u0440\u043E\u043B\u044C\u0434\u043E\u0441\u0442\u0443\u043F\u043D\u0430 \u0441\u0435\u043A\u0443\u043D\u0434\u0430 \u0441\u0438\u0433\u043D\u0430\u043B \u0441\u0438\u043C\u0432\u043E\u043B \u0441\u043A\u043E\u043F\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0436\u0443\u0440\u043D\u0430\u043B\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0441\u043C\u0435\u0449\u0435\u043D\u0438\u0435\u043B\u0435\u0442\u043D\u0435\u0433\u043E\u0432\u0440\u0435\u043C\u0435\u043D\u0438 \u0441\u043C\u0435\u0449\u0435\u043D\u0438\u0435\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u0432\u0440\u0435\u043C\u0435\u043D\u0438 \u0441\u043E\u0435\u0434\u0438\u043D\u0438\u0442\u044C\u0431\u0443\u0444\u0435\u0440\u044B\u0434\u0432\u043E\u0438\u0447\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u043E\u0437\u0434\u0430\u0442\u044C\u043A\u0430\u0442\u0430\u043B\u043E\u0433 \u0441\u043E\u0437\u0434\u0430\u0442\u044C\u0444\u0430\u0431\u0440\u0438\u043A\u0443xdto \u0441\u043E\u043A\u0440\u043B \u0441\u043E\u043A\u0440\u043B\u043F \u0441\u043E\u043A\u0440\u043F \u0441\u043E\u043E\u0431\u0449\u0438\u0442\u044C \u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u0435 \u0441\u043E\u0445\u0440\u0430\u043D\u0438\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u0441\u043E\u0445\u0440\u0430\u043D\u0438\u0442\u044C\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u0441\u0440\u0435\u0434 \u0441\u0442\u0440\u0434\u043B\u0438\u043D\u0430 \u0441\u0442\u0440\u0437\u0430\u043A\u0430\u043D\u0447\u0438\u0432\u0430\u0435\u0442\u0441\u044F\u043D\u0430 \u0441\u0442\u0440\u0437\u0430\u043C\u0435\u043D\u0438\u0442\u044C \u0441\u0442\u0440\u043D\u0430\u0439\u0442\u0438 \u0441\u0442\u0440\u043D\u0430\u0447\u0438\u043D\u0430\u0435\u0442\u0441\u044F\u0441 \u0441\u0442\u0440\u043E\u043A\u0430 \u0441\u0442\u0440\u043E\u043A\u0430\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u0441\u0442\u0440\u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u0442\u0440\u043E\u043A\u0443 \u0441\u0442\u0440\u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u044C \u0441\u0442\u0440\u0441\u043E\u0435\u0434\u0438\u043D\u0438\u0442\u044C \u0441\u0442\u0440\u0441\u0440\u0430\u0432\u043D\u0438\u0442\u044C \u0441\u0442\u0440\u0447\u0438\u0441\u043B\u043E\u0432\u0445\u043E\u0436\u0434\u0435\u043D\u0438\u0439 \u0441\u0442\u0440\u0447\u0438\u0441\u043B\u043E\u0441\u0442\u0440\u043E\u043A \u0441\u0442\u0440\u0448\u0430\u0431\u043B\u043E\u043D \u0442\u0435\u043A\u0443\u0449\u0430\u044F\u0434\u0430\u0442\u0430 \u0442\u0435\u043A\u0443\u0449\u0430\u044F\u0434\u0430\u0442\u0430\u0441\u0435\u0430\u043D\u0441\u0430 \u0442\u0435\u043A\u0443\u0449\u0430\u044F\u0443\u043D\u0438\u0432\u0435\u0440\u0441\u0430\u043B\u044C\u043D\u0430\u044F\u0434\u0430\u0442\u0430 \u0442\u0435\u043A\u0443\u0449\u0430\u044F\u0443\u043D\u0438\u0432\u0435\u0440\u0441\u0430\u043B\u044C\u043D\u0430\u044F\u0434\u0430\u0442\u0430\u0432\u043C\u0438\u043B\u043B\u0438\u0441\u0435\u043A\u0443\u043D\u0434\u0430\u0445 \u0442\u0435\u043A\u0443\u0449\u0438\u0439\u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0442\u0435\u043A\u0443\u0449\u0438\u0439\u0432\u0430\u0440\u0438\u0430\u043D\u0442\u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0433\u043E\u0448\u0440\u0438\u0444\u0442\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0442\u0435\u043A\u0443\u0449\u0438\u0439\u043A\u043E\u0434\u043B\u043E\u043A\u0430\u043B\u0438\u0437\u0430\u0446\u0438\u0438 \u0442\u0435\u043A\u0443\u0449\u0438\u0439\u0440\u0435\u0436\u0438\u043C\u0437\u0430\u043F\u0443\u0441\u043A\u0430 \u0442\u0435\u043A\u0443\u0449\u0438\u0439\u044F\u0437\u044B\u043A \u0442\u0435\u043A\u0443\u0449\u0438\u0439\u044F\u0437\u044B\u043A\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u0442\u0438\u043F \u0442\u0438\u043F\u0437\u043D\u0447 \u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u044F\u0430\u043A\u0442\u0438\u0432\u043D\u0430 \u0442\u0440\u0435\u0433 \u0443\u0434\u0430\u043B\u0438\u0442\u044C\u0434\u0430\u043D\u043D\u044B\u0435\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u0443\u0434\u0430\u043B\u0438\u0442\u044C\u0438\u0437\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u043E\u0433\u043E\u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0430 \u0443\u0434\u0430\u043B\u0438\u0442\u044C\u043E\u0431\u044A\u0435\u043A\u0442\u044B \u0443\u0434\u0430\u043B\u0438\u0442\u044C\u0444\u0430\u0439\u043B\u044B \u0443\u043D\u0438\u0432\u0435\u0440\u0441\u0430\u043B\u044C\u043D\u043E\u0435\u0432\u0440\u0435\u043C\u044F \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0431\u0435\u0437\u043E\u043F\u0430\u0441\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0431\u0435\u0437\u043E\u043F\u0430\u0441\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C\u0440\u0430\u0437\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u0434\u0430\u043D\u043D\u044B\u0445 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u0443\u0441\u0435\u0430\u043D\u0441\u043E\u0432 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0432\u043D\u0435\u0448\u043D\u044E\u044E\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u0443 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u0437\u0430\u0432\u0435\u0440\u0448\u0435\u043D\u0438\u044F\u0441\u043F\u044F\u0449\u0435\u0433\u043E\u0441\u0435\u0430\u043D\u0441\u0430 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u0437\u0430\u0441\u044B\u043F\u0430\u043D\u0438\u044F\u043F\u0430\u0441\u0441\u0438\u0432\u043D\u043E\u0433\u043E\u0441\u0435\u0430\u043D\u0441\u0430 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u043E\u0436\u0438\u0434\u0430\u043D\u0438\u044F\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0441\u043E\u0431\u044B\u0442\u0438\u044F\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043A\u0440\u0430\u0442\u043A\u0438\u0439\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043C\u0438\u043D\u0438\u043C\u0430\u043B\u044C\u043D\u0443\u044E\u0434\u043B\u0438\u043D\u0443\u043F\u0430\u0440\u043E\u043B\u0435\u0439\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u0435\u0439 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043C\u043E\u043D\u043E\u043F\u043E\u043B\u044C\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u043A\u043B\u0438\u0435\u043D\u0442\u0430\u043B\u0438\u0446\u0435\u043D\u0437\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043E\u0442\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435\u0431\u0435\u0437\u043E\u043F\u0430\u0441\u043D\u043E\u0433\u043E\u0440\u0435\u0436\u0438\u043C\u0430 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0444\u0443\u043D\u043A\u0446\u0438\u043E\u043D\u0430\u043B\u044C\u043D\u044B\u0445\u043E\u043F\u0446\u0438\u0439\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043F\u0440\u0438\u0432\u0438\u043B\u0435\u0433\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0443\u0441\u043B\u043E\u0436\u043D\u043E\u0441\u0442\u0438\u043F\u0430\u0440\u043E\u043B\u0435\u0439\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u0435\u0439 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0435\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0435\u0439 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0435\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u0444\u0430\u0439\u043B\u0430\u043C\u0438 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435\u0441\u0432\u043D\u0435\u0448\u043D\u0438\u043C\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u043E\u043C\u0434\u0430\u043D\u043D\u044B\u0445 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0441\u043E\u043E\u0442\u0432\u0435\u0442\u0441\u0442\u0432\u0438\u0435\u043E\u0431\u044A\u0435\u043A\u0442\u0430\u0438\u0444\u043E\u0440\u043C\u044B \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0441\u043E\u0441\u0442\u0430\u0432\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430odata \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0447\u0430\u0441\u043E\u0432\u043E\u0439\u043F\u043E\u044F\u0441\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0447\u0430\u0441\u043E\u0432\u043E\u0439\u043F\u043E\u044F\u0441\u0441\u0435\u0430\u043D\u0441\u0430 \u0444\u043E\u0440\u043C\u0430\u0442 \u0446\u0435\u043B \u0447\u0430\u0441 \u0447\u0430\u0441\u043E\u0432\u043E\u0439\u043F\u043E\u044F\u0441 \u0447\u0430\u0441\u043E\u0432\u043E\u0439\u043F\u043E\u044F\u0441\u0441\u0435\u0430\u043D\u0441\u0430 \u0447\u0438\u0441\u043B\u043E \u0447\u0438\u0441\u043B\u043E\u043F\u0440\u043E\u043F\u0438\u0441\u044C\u044E \u044D\u0442\u043E\u0430\u0434\u0440\u0435\u0441\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u043E\u0433\u043E\u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0430 ",h="ws\u0441\u0441\u044B\u043B\u043A\u0438 \u0431\u0438\u0431\u043B\u0438\u043E\u0442\u0435\u043A\u0430\u043A\u0430\u0440\u0442\u0438\u043D\u043E\u043A \u0431\u0438\u0431\u043B\u0438\u043E\u0442\u0435\u043A\u0430\u043C\u0430\u043A\u0435\u0442\u043E\u0432\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0431\u0438\u0431\u043B\u0438\u043E\u0442\u0435\u043A\u0430\u0441\u0442\u0438\u043B\u0435\u0439 \u0431\u0438\u0437\u043D\u0435\u0441\u043F\u0440\u043E\u0446\u0435\u0441\u0441\u044B \u0432\u043D\u0435\u0448\u043D\u0438\u0435\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0432\u043D\u0435\u0448\u043D\u0438\u0435\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0438 \u0432\u043D\u0435\u0448\u043D\u0438\u0435\u043E\u0442\u0447\u0435\u0442\u044B \u0432\u0441\u0442\u0440\u043E\u0435\u043D\u043D\u044B\u0435\u043F\u043E\u043A\u0443\u043F\u043A\u0438 \u0433\u043B\u0430\u0432\u043D\u044B\u0439\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441 \u0433\u043B\u0430\u0432\u043D\u044B\u0439\u0441\u0442\u0438\u043B\u044C \u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u044B \u0434\u043E\u0441\u0442\u0430\u0432\u043B\u044F\u0435\u043C\u044B\u0435\u0443\u0432\u0435\u0434\u043E\u043C\u043B\u0435\u043D\u0438\u044F \u0436\u0443\u0440\u043D\u0430\u043B\u044B\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u043E\u0432 \u0437\u0430\u0434\u0430\u0447\u0438 \u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044F\u043E\u0431\u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0438 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0440\u0430\u0431\u043E\u0447\u0435\u0439\u0434\u0430\u0442\u044B \u0438\u0441\u0442\u043E\u0440\u0438\u044F\u0440\u0430\u0431\u043E\u0442\u044B\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043A\u043E\u043D\u0441\u0442\u0430\u043D\u0442\u044B \u043A\u0440\u0438\u0442\u0435\u0440\u0438\u0438\u043E\u0442\u0431\u043E\u0440\u0430 \u043C\u0435\u0442\u0430\u0434\u0430\u043D\u043D\u044B\u0435 \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0438 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0440\u0435\u043A\u043B\u0430\u043C\u044B \u043E\u0442\u043F\u0440\u0430\u0432\u043A\u0430\u0434\u043E\u0441\u0442\u0430\u0432\u043B\u044F\u0435\u043C\u044B\u0445\u0443\u0432\u0435\u0434\u043E\u043C\u043B\u0435\u043D\u0438\u0439 \u043E\u0442\u0447\u0435\u0442\u044B \u043F\u0430\u043D\u0435\u043B\u044C\u0437\u0430\u0434\u0430\u0447\u043E\u0441 \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0437\u0430\u043F\u0443\u0441\u043A\u0430 \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0441\u0435\u0430\u043D\u0441\u0430 \u043F\u0435\u0440\u0435\u0447\u0438\u0441\u043B\u0435\u043D\u0438\u044F \u043F\u043B\u0430\u043D\u044B\u0432\u0438\u0434\u043E\u0432\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u043F\u043B\u0430\u043D\u044B\u0432\u0438\u0434\u043E\u0432\u0445\u0430\u0440\u0430\u043A\u0442\u0435\u0440\u0438\u0441\u0442\u0438\u043A \u043F\u043B\u0430\u043D\u044B\u043E\u0431\u043C\u0435\u043D\u0430 \u043F\u043B\u0430\u043D\u044B\u0441\u0447\u0435\u0442\u043E\u0432 \u043F\u043E\u043B\u043D\u043E\u0442\u0435\u043A\u0441\u0442\u043E\u0432\u044B\u0439\u043F\u043E\u0438\u0441\u043A \u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u0438\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u0441\u043B\u0435\u0434\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u043D\u043E\u0441\u0442\u0438 \u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0430\u0432\u0441\u0442\u0440\u043E\u0435\u043D\u043D\u044B\u0445\u043F\u043E\u043A\u0443\u043F\u043E\u043A \u0440\u0430\u0431\u043E\u0447\u0430\u044F\u0434\u0430\u0442\u0430 \u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438 \u0440\u0435\u0433\u0438\u0441\u0442\u0440\u044B\u0431\u0443\u0445\u0433\u0430\u043B\u0442\u0435\u0440\u0438\u0438 \u0440\u0435\u0433\u0438\u0441\u0442\u0440\u044B\u043D\u0430\u043A\u043E\u043F\u043B\u0435\u043D\u0438\u044F \u0440\u0435\u0433\u0438\u0441\u0442\u0440\u044B\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u0440\u0435\u0433\u0438\u0441\u0442\u0440\u044B\u0441\u0432\u0435\u0434\u0435\u043D\u0438\u0439 \u0440\u0435\u0433\u043B\u0430\u043C\u0435\u043D\u0442\u043D\u044B\u0435\u0437\u0430\u0434\u0430\u043D\u0438\u044F \u0441\u0435\u0440\u0438\u0430\u043B\u0438\u0437\u0430\u0442\u043E\u0440xdto \u0441\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A\u0438 \u0441\u0440\u0435\u0434\u0441\u0442\u0432\u0430\u0433\u0435\u043E\u043F\u043E\u0437\u0438\u0446\u0438\u043E\u043D\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u0441\u0440\u0435\u0434\u0441\u0442\u0432\u0430\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 \u0441\u0440\u0435\u0434\u0441\u0442\u0432\u0430\u043C\u0443\u043B\u044C\u0442\u0438\u043C\u0435\u0434\u0438\u0430 \u0441\u0440\u0435\u0434\u0441\u0442\u0432\u0430\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0440\u0435\u043A\u043B\u0430\u043C\u044B \u0441\u0440\u0435\u0434\u0441\u0442\u0432\u0430\u043F\u043E\u0447\u0442\u044B \u0441\u0440\u0435\u0434\u0441\u0442\u0432\u0430\u0442\u0435\u043B\u0435\u0444\u043E\u043D\u0438\u0438 \u0444\u0430\u0431\u0440\u0438\u043A\u0430xdto \u0444\u0430\u0439\u043B\u043E\u0432\u044B\u0435\u043F\u043E\u0442\u043E\u043A\u0438 \u0444\u043E\u043D\u043E\u0432\u044B\u0435\u0437\u0430\u0434\u0430\u043D\u0438\u044F \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0430\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u0432\u0430\u0440\u0438\u0430\u043D\u0442\u043E\u0432\u043E\u0442\u0447\u0435\u0442\u043E\u0432 \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u0434\u0430\u043D\u043D\u044B\u0445\u0444\u043E\u0440\u043C \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u043E\u0431\u0449\u0438\u0445\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u0441\u043A\u0438\u0445\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u0434\u0438\u043D\u0430\u043C\u0438\u0447\u0435\u0441\u043A\u0438\u0445\u0441\u043F\u0438\u0441\u043A\u043E\u0432 \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u0441\u043A\u0438\u0445\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043E\u0442\u0447\u0435\u0442\u043E\u0432 \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u0441\u0438\u0441\u0442\u0435\u043C\u043D\u044B\u0445\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A ",p=d+g+f+h,m="web\u0446\u0432\u0435\u0442\u0430 windows\u0446\u0432\u0435\u0442\u0430 windows\u0448\u0440\u0438\u0444\u0442\u044B \u0431\u0438\u0431\u043B\u0438\u043E\u0442\u0435\u043A\u0430\u043A\u0430\u0440\u0442\u0438\u043D\u043E\u043A \u0440\u0430\u043C\u043A\u0438\u0441\u0442\u0438\u043B\u044F \u0441\u0438\u043C\u0432\u043E\u043B\u044B \u0446\u0432\u0435\u0442\u0430\u0441\u0442\u0438\u043B\u044F \u0448\u0440\u0438\u0444\u0442\u044B\u0441\u0442\u0438\u043B\u044F ",y="\u0430\u0432\u0442\u043E\u043C\u0430\u0442\u0438\u0447\u0435\u0441\u043A\u043E\u0435\u0441\u043E\u0445\u0440\u0430\u043D\u0435\u043D\u0438\u0435\u0434\u0430\u043D\u043D\u044B\u0445\u0444\u043E\u0440\u043C\u044B\u0432\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0430\u0445 \u0430\u0432\u0442\u043E\u043D\u0443\u043C\u0435\u0440\u0430\u0446\u0438\u044F\u0432\u0444\u043E\u0440\u043C\u0435 \u0430\u0432\u0442\u043E\u0440\u0430\u0437\u0434\u0432\u0438\u0436\u0435\u043D\u0438\u0435\u0441\u0435\u0440\u0438\u0439 \u0430\u043D\u0438\u043C\u0430\u0446\u0438\u044F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0432\u044B\u0440\u0430\u0432\u043D\u0438\u0432\u0430\u043D\u0438\u044F\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432\u0438\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u043E\u0432 \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0443\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u0432\u044B\u0441\u043E\u0442\u043E\u0439\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u0432\u0435\u0440\u0442\u0438\u043A\u0430\u043B\u044C\u043D\u0430\u044F\u043F\u0440\u043E\u043A\u0440\u0443\u0442\u043A\u0430\u0444\u043E\u0440\u043C\u044B \u0432\u0435\u0440\u0442\u0438\u043A\u0430\u043B\u044C\u043D\u043E\u0435\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435 \u0432\u0435\u0440\u0442\u0438\u043A\u0430\u043B\u044C\u043D\u043E\u0435\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430 \u0432\u0438\u0434\u0433\u0440\u0443\u043F\u043F\u044B\u0444\u043E\u0440\u043C\u044B \u0432\u0438\u0434\u0434\u0435\u043A\u043E\u0440\u0430\u0446\u0438\u0438\u0444\u043E\u0440\u043C\u044B \u0432\u0438\u0434\u0434\u043E\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0444\u043E\u0440\u043C\u044B \u0432\u0438\u0434\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0438\u044F\u0434\u0430\u043D\u043D\u044B\u0445 \u0432\u0438\u0434\u043A\u043D\u043E\u043F\u043A\u0438\u0444\u043E\u0440\u043C\u044B \u0432\u0438\u0434\u043F\u0435\u0440\u0435\u043A\u043B\u044E\u0447\u0430\u0442\u0435\u043B\u044F \u0432\u0438\u0434\u043F\u043E\u0434\u043F\u0438\u0441\u0435\u0439\u043A\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0435 \u0432\u0438\u0434\u043F\u043E\u043B\u044F\u0444\u043E\u0440\u043C\u044B \u0432\u0438\u0434\u0444\u043B\u0430\u0436\u043A\u0430 \u0432\u043B\u0438\u044F\u043D\u0438\u0435\u0440\u0430\u0437\u043C\u0435\u0440\u0430\u043D\u0430\u043F\u0443\u0437\u044B\u0440\u0435\u043A\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0433\u043E\u0440\u0438\u0437\u043E\u043D\u0442\u0430\u043B\u044C\u043D\u043E\u0435\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435 \u0433\u043E\u0440\u0438\u0437\u043E\u043D\u0442\u0430\u043B\u044C\u043D\u043E\u0435\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430 \u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0430\u043A\u043E\u043B\u043E\u043D\u043E\u043A \u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0430\u043F\u043E\u0434\u0447\u0438\u043D\u0435\u043D\u043D\u044B\u0445\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432\u0444\u043E\u0440\u043C\u044B \u0433\u0440\u0443\u043F\u043F\u044B\u0438\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u044B \u0434\u0435\u0439\u0441\u0442\u0432\u0438\u0435\u043F\u0435\u0440\u0435\u0442\u0430\u0441\u043A\u0438\u0432\u0430\u043D\u0438\u044F \u0434\u043E\u043F\u043E\u043B\u043D\u0438\u0442\u0435\u043B\u044C\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F \u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0435\u0434\u0435\u0439\u0441\u0442\u0432\u0438\u044F\u043F\u0435\u0440\u0435\u0442\u0430\u0441\u043A\u0438\u0432\u0430\u043D\u0438\u044F \u0438\u043D\u0442\u0435\u0440\u0432\u0430\u043B\u043C\u0435\u0436\u0434\u0443\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u043C\u0438\u0444\u043E\u0440\u043C\u044B \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0432\u044B\u0432\u043E\u0434\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043F\u043E\u043B\u043E\u0441\u044B\u043F\u0440\u043E\u043A\u0440\u0443\u0442\u043A\u0438 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u0443\u0435\u043C\u043E\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0442\u043E\u0447\u043A\u0438\u0431\u0438\u0440\u0436\u0435\u0432\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0438\u0441\u0442\u043E\u0440\u0438\u044F\u0432\u044B\u0431\u043E\u0440\u0430\u043F\u0440\u0438\u0432\u0432\u043E\u0434\u0435 \u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u043E\u0441\u0438\u0442\u043E\u0447\u0435\u043A\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u0440\u0430\u0437\u043C\u0435\u0440\u0430\u043F\u0443\u0437\u044B\u0440\u044C\u043A\u0430\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043A\u0430\u0442\u0435\u0433\u043E\u0440\u0438\u044F\u0433\u0440\u0443\u043F\u043F\u044B\u043A\u043E\u043C\u0430\u043D\u0434 \u043C\u0430\u043A\u0441\u0438\u043C\u0443\u043C\u0441\u0435\u0440\u0438\u0439 \u043D\u0430\u0447\u0430\u043B\u044C\u043D\u043E\u0435\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0434\u0435\u0440\u0435\u0432\u0430 \u043D\u0430\u0447\u0430\u043B\u044C\u043D\u043E\u0435\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0441\u043F\u0438\u0441\u043A\u0430 \u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u0442\u0435\u043A\u0441\u0442\u0430\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u043E\u0440\u0438\u0435\u043D\u0442\u0430\u0446\u0438\u044F\u0434\u0435\u043D\u0434\u0440\u043E\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0440\u0438\u0435\u043D\u0442\u0430\u0446\u0438\u044F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0440\u0438\u0435\u043D\u0442\u0430\u0446\u0438\u044F\u043C\u0435\u0442\u043E\u043A\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0440\u0438\u0435\u043D\u0442\u0430\u0446\u0438\u044F\u043C\u0435\u0442\u043E\u043A\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0440\u0438\u0435\u043D\u0442\u0430\u0446\u0438\u044F\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0444\u043E\u0440\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0432\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0435 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0432\u043B\u0435\u0433\u0435\u043D\u0434\u0435\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0433\u0440\u0443\u043F\u043F\u044B\u043A\u043D\u043E\u043F\u043E\u043A \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u0430\u0448\u043A\u0430\u043B\u044B\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u0438\u0437\u043C\u0435\u0440\u0438\u0442\u0435\u043B\u044C\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0438\u043D\u0442\u0435\u0440\u0432\u0430\u043B\u0430\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B\u0433\u0430\u043D\u0442\u0430 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043A\u043D\u043E\u043F\u043A\u0438 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043A\u043D\u043E\u043F\u043A\u0438\u0432\u044B\u0431\u043E\u0440\u0430 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043E\u0431\u0441\u0443\u0436\u0434\u0435\u043D\u0438\u0439\u0444\u043E\u0440\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043E\u0431\u044B\u0447\u043D\u043E\u0439\u0433\u0440\u0443\u043F\u043F\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043E\u0442\u0440\u0438\u0446\u0430\u0442\u0435\u043B\u044C\u043D\u044B\u0445\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u043F\u0443\u0437\u044B\u0440\u044C\u043A\u043E\u0432\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043F\u0430\u043D\u0435\u043B\u0438\u043F\u043E\u0438\u0441\u043A\u0430 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043F\u043E\u0434\u0441\u043A\u0430\u0437\u043A\u0438 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043F\u0440\u0435\u0434\u0443\u043F\u0440\u0435\u0436\u0434\u0435\u043D\u0438\u044F\u043F\u0440\u0438\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u0438 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0440\u0430\u0437\u043C\u0435\u0442\u043A\u0438\u043F\u043E\u043B\u043E\u0441\u044B\u0440\u0435\u0433\u0443\u043B\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0441\u0442\u0440\u0430\u043D\u0438\u0446\u0444\u043E\u0440\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0442\u0435\u043A\u0441\u0442\u0430\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B\u0433\u0430\u043D\u0442\u0430 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0443\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u043E\u0431\u044B\u0447\u043D\u043E\u0439\u0433\u0440\u0443\u043F\u043F\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0444\u0438\u0433\u0443\u0440\u044B\u043A\u043D\u043E\u043F\u043A\u0438 \u043F\u0430\u043B\u0438\u0442\u0440\u0430\u0446\u0432\u0435\u0442\u043E\u0432\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043F\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u0435\u043E\u0431\u044B\u0447\u043D\u043E\u0439\u0433\u0440\u0443\u043F\u043F\u044B \u043F\u043E\u0434\u0434\u0435\u0440\u0436\u043A\u0430\u043C\u0430\u0441\u0448\u0442\u0430\u0431\u0430\u0434\u0435\u043D\u0434\u0440\u043E\u0433\u0440\u0430\u043C\u043C\u044B \u043F\u043E\u0434\u0434\u0435\u0440\u0436\u043A\u0430\u043C\u0430\u0441\u0448\u0442\u0430\u0431\u0430\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B\u0433\u0430\u043D\u0442\u0430 \u043F\u043E\u0434\u0434\u0435\u0440\u0436\u043A\u0430\u043C\u0430\u0441\u0448\u0442\u0430\u0431\u0430\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043F\u043E\u0438\u0441\u043A\u0432\u0442\u0430\u0431\u043B\u0438\u0446\u0435\u043F\u0440\u0438\u0432\u0432\u043E\u0434\u0435 \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u0430\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0444\u043E\u0440\u043C\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0438\u043A\u043D\u043E\u043F\u043A\u0438\u0444\u043E\u0440\u043C\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0438\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043A\u043E\u043C\u0430\u043D\u0434\u043D\u043E\u0439\u043F\u0430\u043D\u0435\u043B\u0438\u0444\u043E\u0440\u043C\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043A\u043E\u043C\u0430\u043D\u0434\u043D\u043E\u0439\u043F\u0430\u043D\u0435\u043B\u0438\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0444\u043E\u0440\u043C\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043E\u043F\u043E\u0440\u043D\u043E\u0439\u0442\u043E\u0447\u043A\u0438\u043E\u0442\u0440\u0438\u0441\u043E\u0432\u043A\u0438 \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043F\u043E\u0434\u043F\u0438\u0441\u0435\u0439\u043A\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0435 \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043F\u043E\u0434\u043F\u0438\u0441\u0435\u0439\u0448\u043A\u0430\u043B\u044B\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u0438\u0437\u043C\u0435\u0440\u0438\u0442\u0435\u043B\u044C\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u044F\u043F\u0440\u043E\u0441\u043C\u043E\u0442\u0440\u0430 \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0441\u0442\u0440\u043E\u043A\u0438\u043F\u043E\u0438\u0441\u043A\u0430 \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0442\u0435\u043A\u0441\u0442\u0430\u0441\u043E\u0435\u0434\u0438\u043D\u0438\u0442\u0435\u043B\u044C\u043D\u043E\u0439\u043B\u0438\u043D\u0438\u0438 \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0443\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u043F\u043E\u0438\u0441\u043A\u043E\u043C \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0448\u043A\u0430\u043B\u044B\u0432\u0440\u0435\u043C\u0435\u043D\u0438 \u043F\u043E\u0440\u044F\u0434\u043E\u043A\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0442\u043E\u0447\u0435\u043A\u0433\u043E\u0440\u0438\u0437\u043E\u043D\u0442\u0430\u043B\u044C\u043D\u043E\u0439\u0433\u0438\u0441\u0442\u043E\u0433\u0440\u0430\u043C\u043C\u044B \u043F\u043E\u0440\u044F\u0434\u043E\u043A\u0441\u0435\u0440\u0438\u0439\u0432\u043B\u0435\u0433\u0435\u043D\u0434\u0435\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0440\u0430\u0437\u043C\u0435\u0440\u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0438 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u0430\u0448\u043A\u0430\u043B\u044B\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0440\u0430\u0441\u0442\u044F\u0433\u0438\u0432\u0430\u043D\u0438\u0435\u043F\u043E\u0432\u0435\u0440\u0442\u0438\u043A\u0430\u043B\u0438\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B\u0433\u0430\u043D\u0442\u0430 \u0440\u0435\u0436\u0438\u043C\u0430\u0432\u0442\u043E\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u0432\u0432\u043E\u0434\u0430\u0441\u0442\u0440\u043E\u043A\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u0440\u0435\u0436\u0438\u043C\u0432\u044B\u0431\u043E\u0440\u0430\u043D\u0435\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u043D\u043E\u0433\u043E \u0440\u0435\u0436\u0438\u043C\u0432\u044B\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u0434\u0430\u0442\u044B \u0440\u0435\u0436\u0438\u043C\u0432\u044B\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u0441\u0442\u0440\u043E\u043A\u0438\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u0440\u0435\u0436\u0438\u043C\u0432\u044B\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u0440\u0435\u0436\u0438\u043C\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0438\u044F\u0440\u0430\u0437\u043C\u0435\u0440\u0430 \u0440\u0435\u0436\u0438\u043C\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0438\u044F\u0441\u0432\u044F\u0437\u0430\u043D\u043D\u043E\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0434\u0438\u0430\u043B\u043E\u0433\u0430\u043F\u0435\u0447\u0430\u0442\u0438 \u0440\u0435\u0436\u0438\u043C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0430\u043A\u043E\u043C\u0430\u043D\u0434\u044B \u0440\u0435\u0436\u0438\u043C\u043C\u0430\u0441\u0448\u0442\u0430\u0431\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F\u043F\u0440\u043E\u0441\u043C\u043E\u0442\u0440\u0430 \u0440\u0435\u0436\u0438\u043C\u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0433\u043E\u043E\u043A\u043D\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043A\u0440\u044B\u0442\u0438\u044F\u043E\u043A\u043D\u0430\u0444\u043E\u0440\u043C\u044B \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0432\u044B\u0434\u0435\u043B\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u0441\u0435\u0440\u0438\u0438 \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u0440\u0438\u0441\u043E\u0432\u043A\u0438\u0441\u0435\u0442\u043A\u0438\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0440\u0435\u0436\u0438\u043C\u043F\u043E\u043B\u0443\u043F\u0440\u043E\u0437\u0440\u0430\u0447\u043D\u043E\u0441\u0442\u0438\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0440\u0435\u0436\u0438\u043C\u043F\u0440\u043E\u0431\u0435\u043B\u043E\u0432\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0440\u0435\u0436\u0438\u043C\u0440\u0430\u0437\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u043D\u0430\u0441\u0442\u0440\u0430\u043D\u0438\u0446\u0435 \u0440\u0435\u0436\u0438\u043C\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F\u043A\u043E\u043B\u043E\u043D\u043A\u0438 \u0440\u0435\u0436\u0438\u043C\u0441\u0433\u043B\u0430\u0436\u0438\u0432\u0430\u043D\u0438\u044F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0440\u0435\u0436\u0438\u043C\u0441\u0433\u043B\u0430\u0436\u0438\u0432\u0430\u043D\u0438\u044F\u0438\u043D\u0434\u0438\u043A\u0430\u0442\u043E\u0440\u0430 \u0440\u0435\u0436\u0438\u043C\u0441\u043F\u0438\u0441\u043A\u0430\u0437\u0430\u0434\u0430\u0447 \u0441\u043A\u0432\u043E\u0437\u043D\u043E\u0435\u0432\u044B\u0440\u0430\u0432\u043D\u0438\u0432\u0430\u043D\u0438\u0435 \u0441\u043E\u0445\u0440\u0430\u043D\u0435\u043D\u0438\u0435\u0434\u0430\u043D\u043D\u044B\u0445\u0444\u043E\u0440\u043C\u044B\u0432\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0430\u0445 \u0441\u043F\u043E\u0441\u043E\u0431\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u0442\u0435\u043A\u0441\u0442\u0430\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u0430\u0448\u043A\u0430\u043B\u044B\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0441\u043F\u043E\u0441\u043E\u0431\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u043E\u0433\u0440\u0430\u043D\u0438\u0447\u0438\u0432\u0430\u044E\u0449\u0435\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u0430\u044F\u0433\u0440\u0443\u043F\u043F\u0430\u043A\u043E\u043C\u0430\u043D\u0434 \u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0435\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u0435 \u0441\u0442\u0430\u0442\u0443\u0441\u043E\u043F\u043E\u0432\u0435\u0449\u0435\u043D\u0438\u044F\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u0441\u0442\u0438\u043B\u044C\u0441\u0442\u0440\u0435\u043B\u043A\u0438 \u0442\u0438\u043F\u0430\u043F\u043F\u0440\u043E\u043A\u0441\u0438\u043C\u0430\u0446\u0438\u0438\u043B\u0438\u043D\u0438\u0438\u0442\u0440\u0435\u043D\u0434\u0430\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u0435\u0434\u0438\u043D\u0438\u0446\u044B\u0448\u043A\u0430\u043B\u044B\u0432\u0440\u0435\u043C\u0435\u043D\u0438 \u0442\u0438\u043F\u0438\u043C\u043F\u043E\u0440\u0442\u0430\u0441\u0435\u0440\u0438\u0439\u0441\u043B\u043E\u044F\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043B\u0438\u043D\u0438\u0438\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043B\u0438\u043D\u0438\u0438\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u043C\u0430\u0440\u043A\u0435\u0440\u0430\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043C\u0430\u0440\u043A\u0435\u0440\u0430\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u043E\u0431\u043B\u0430\u0441\u0442\u0438\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u044F \u0442\u0438\u043F\u043E\u0440\u0433\u0430\u043D\u0438\u0437\u0430\u0446\u0438\u0438\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0441\u0435\u0440\u0438\u0438\u0441\u043B\u043E\u044F\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0442\u043E\u0447\u0435\u0447\u043D\u043E\u0433\u043E\u043E\u0431\u044A\u0435\u043A\u0442\u0430\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0448\u043A\u0430\u043B\u044B\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u043B\u0435\u0433\u0435\u043D\u0434\u044B\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043F\u043E\u0438\u0441\u043A\u0430\u043E\u0431\u044A\u0435\u043A\u0442\u043E\u0432\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043F\u0440\u043E\u0435\u043A\u0446\u0438\u0438\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u0440\u0430\u0437\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u0438\u0437\u043C\u0435\u0440\u0435\u043D\u0438\u0439 \u0442\u0438\u043F\u0440\u0430\u0437\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u043E\u0432\u0438\u0437\u043C\u0435\u0440\u0435\u043D\u0438\u0439 \u0442\u0438\u043F\u0440\u0430\u043C\u043A\u0438\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0443\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F \u0442\u0438\u043F\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u0441\u0432\u044F\u0437\u0438\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B\u0433\u0430\u043D\u0442\u0430 \u0442\u0438\u043F\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u043F\u043E\u0441\u0435\u0440\u0438\u044F\u043C\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0442\u043E\u0447\u0435\u043A\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u0441\u043E\u0435\u0434\u0438\u043D\u0438\u0442\u0435\u043B\u044C\u043D\u043E\u0439\u043B\u0438\u043D\u0438\u0438 \u0442\u0438\u043F\u0441\u0442\u043E\u0440\u043E\u043D\u044B\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u0444\u043E\u0440\u043C\u044B\u043E\u0442\u0447\u0435\u0442\u0430 \u0442\u0438\u043F\u0448\u043A\u0430\u043B\u044B\u0440\u0430\u0434\u0430\u0440\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0444\u0430\u043A\u0442\u043E\u0440\u043B\u0438\u043D\u0438\u0438\u0442\u0440\u0435\u043D\u0434\u0430\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0444\u0438\u0433\u0443\u0440\u0430\u043A\u043D\u043E\u043F\u043A\u0438 \u0444\u0438\u0433\u0443\u0440\u044B\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0444\u0438\u043A\u0441\u0430\u0446\u0438\u044F\u0432\u0442\u0430\u0431\u043B\u0438\u0446\u0435 \u0444\u043E\u0440\u043C\u0430\u0442\u0434\u043D\u044F\u0448\u043A\u0430\u043B\u044B\u0432\u0440\u0435\u043C\u0435\u043D\u0438 \u0444\u043E\u0440\u043C\u0430\u0442\u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0438 \u0448\u0438\u0440\u0438\u043D\u0430\u043F\u043E\u0434\u0447\u0438\u043D\u0435\u043D\u043D\u044B\u0445\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432\u0444\u043E\u0440\u043C\u044B ",b="\u0432\u0438\u0434\u0434\u0432\u0438\u0436\u0435\u043D\u0438\u044F\u0431\u0443\u0445\u0433\u0430\u043B\u0442\u0435\u0440\u0438\u0438 \u0432\u0438\u0434\u0434\u0432\u0438\u0436\u0435\u043D\u0438\u044F\u043D\u0430\u043A\u043E\u043F\u043B\u0435\u043D\u0438\u044F \u0432\u0438\u0434\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u0432\u0438\u0434\u0441\u0447\u0435\u0442\u0430 \u0432\u0438\u0434\u0442\u043E\u0447\u043A\u0438\u043C\u0430\u0440\u0448\u0440\u0443\u0442\u0430\u0431\u0438\u0437\u043D\u0435\u0441\u043F\u0440\u043E\u0446\u0435\u0441\u0441\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0430\u0433\u0440\u0435\u0433\u0430\u0442\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u043D\u0430\u043A\u043E\u043F\u043B\u0435\u043D\u0438\u044F \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0433\u0440\u0443\u043F\u043F\u0438\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0440\u0435\u0436\u0438\u043C\u0430\u043F\u0440\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u044F \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0441\u0440\u0435\u0437\u0430 \u043F\u0435\u0440\u0438\u043E\u0434\u0438\u0447\u043D\u043E\u0441\u0442\u044C\u0430\u0433\u0440\u0435\u0433\u0430\u0442\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u043D\u0430\u043A\u043E\u043F\u043B\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u0430\u0432\u0442\u043E\u0432\u0440\u0435\u043C\u044F \u0440\u0435\u0436\u0438\u043C\u0437\u0430\u043F\u0438\u0441\u0438\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0440\u0435\u0436\u0438\u043C\u043F\u0440\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u044F\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 ",C="\u0430\u0432\u0442\u043E\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u044F\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0438\u0439 \u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0439\u043D\u043E\u043C\u0435\u0440\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u043E\u0442\u043F\u0440\u0430\u0432\u043A\u0430\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u043B\u0443\u0447\u0435\u043D\u0438\u0435\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0434\u0430\u043D\u043D\u044B\u0445 ",E="\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u043E\u0440\u0438\u0435\u043D\u0442\u0430\u0446\u0438\u044F\u0441\u0442\u0440\u0430\u043D\u0438\u0446\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0438\u0442\u043E\u0433\u043E\u0432\u043A\u043E\u043B\u043E\u043D\u043E\u043A\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0438\u0442\u043E\u0433\u043E\u0432\u0441\u0442\u0440\u043E\u043A\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0442\u0435\u043A\u0441\u0442\u0430\u043E\u0442\u043D\u043E\u0441\u0438\u0442\u0435\u043B\u044C\u043D\u043E\u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0438 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u0430\u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0438\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0441\u043F\u043E\u0441\u043E\u0431\u0447\u0442\u0435\u043D\u0438\u044F\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u0434\u0432\u0443\u0441\u0442\u043E\u0440\u043E\u043D\u043D\u0435\u0439\u043F\u0435\u0447\u0430\u0442\u0438 \u0442\u0438\u043F\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u043E\u0431\u043B\u0430\u0441\u0442\u0438\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043A\u0443\u0440\u0441\u043E\u0440\u043E\u0432\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043B\u0438\u043D\u0438\u0438\u0440\u0438\u0441\u0443\u043D\u043A\u0430\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043B\u0438\u043D\u0438\u0438\u044F\u0447\u0435\u0439\u043A\u0438\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u043F\u0435\u0440\u0435\u0445\u043E\u0434\u0430\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0432\u044B\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u043B\u0438\u043D\u0438\u0439\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u0442\u0438\u043F\u0440\u0430\u0437\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u0442\u0435\u043A\u0441\u0442\u0430\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u0440\u0438\u0441\u0443\u043D\u043A\u0430\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u0441\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u0443\u0437\u043E\u0440\u0430\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u0444\u0430\u0439\u043B\u0430\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u043E\u0447\u043D\u043E\u0441\u0442\u044C\u043F\u0435\u0447\u0430\u0442\u0438 \u0447\u0435\u0440\u0435\u0434\u043E\u0432\u0430\u043D\u0438\u0435\u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u044F\u0441\u0442\u0440\u0430\u043D\u0438\u0446 ",v="\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0432\u0440\u0435\u043C\u0435\u043D\u0438\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432\u043F\u043B\u0430\u043D\u0438\u0440\u043E\u0432\u0449\u0438\u043A\u0430 ",O="\u0442\u0438\u043F\u0444\u0430\u0439\u043B\u0430\u0444\u043E\u0440\u043C\u0430\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 ",S="\u043E\u0431\u0445\u043E\u0434\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u0442\u0438\u043F\u0437\u0430\u043F\u0438\u0441\u0438\u0437\u0430\u043F\u0440\u043E\u0441\u0430 ",A="\u0432\u0438\u0434\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044F\u043E\u0442\u0447\u0435\u0442\u0430 \u0442\u0438\u043F\u0434\u043E\u0431\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0439 \u0442\u0438\u043F\u0438\u0437\u043C\u0435\u0440\u0435\u043D\u0438\u044F\u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044F\u043E\u0442\u0447\u0435\u0442\u0430 \u0442\u0438\u043F\u0440\u0430\u0437\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u0438\u0442\u043E\u0433\u043E\u0432 ",P="\u0434\u043E\u0441\u0442\u0443\u043F\u043A\u0444\u0430\u0439\u043B\u0443 \u0440\u0435\u0436\u0438\u043C\u0434\u0438\u0430\u043B\u043E\u0433\u0430\u0432\u044B\u0431\u043E\u0440\u0430\u0444\u0430\u0439\u043B\u0430 \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043A\u0440\u044B\u0442\u0438\u044F\u0444\u0430\u0439\u043B\u0430 ",F="\u0442\u0438\u043F\u0438\u0437\u043C\u0435\u0440\u0435\u043D\u0438\u044F\u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044F\u0437\u0430\u043F\u0440\u043E\u0441\u0430 ",G="\u0432\u0438\u0434\u0434\u0430\u043D\u043D\u044B\u0445\u0430\u043D\u0430\u043B\u0438\u0437\u0430 \u043C\u0435\u0442\u043E\u0434\u043A\u043B\u0430\u0441\u0442\u0435\u0440\u0438\u0437\u0430\u0446\u0438\u0438 \u0442\u0438\u043F\u0435\u0434\u0438\u043D\u0438\u0446\u044B\u0438\u043D\u0442\u0435\u0440\u0432\u0430\u043B\u0430\u0432\u0440\u0435\u043C\u0435\u043D\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u0442\u0430\u0431\u043B\u0438\u0446\u044B\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0447\u0438\u0441\u043B\u043E\u0432\u044B\u0445\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u0438\u0441\u043A\u0430\u0430\u0441\u0441\u043E\u0446\u0438\u0430\u0446\u0438\u0439 \u0442\u0438\u043F\u043A\u043E\u043B\u043E\u043D\u043A\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u0434\u0435\u0440\u0435\u0432\u043E\u0440\u0435\u0448\u0435\u043D\u0438\u0439 \u0442\u0438\u043F\u043A\u043E\u043B\u043E\u043D\u043A\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043A\u043B\u0430\u0441\u0442\u0435\u0440\u0438\u0437\u0430\u0446\u0438\u044F \u0442\u0438\u043F\u043A\u043E\u043B\u043E\u043D\u043A\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043E\u0431\u0449\u0430\u044F\u0441\u0442\u0430\u0442\u0438\u0441\u0442\u0438\u043A\u0430 \u0442\u0438\u043F\u043A\u043E\u043B\u043E\u043D\u043A\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u0438\u0441\u043A\u0430\u0441\u0441\u043E\u0446\u0438\u0430\u0446\u0438\u0439 \u0442\u0438\u043F\u043A\u043E\u043B\u043E\u043D\u043A\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u0438\u0441\u043A\u043F\u043E\u0441\u043B\u0435\u0434\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u043D\u043E\u0441\u0442\u0435\u0439 \u0442\u0438\u043F\u043A\u043E\u043B\u043E\u043D\u043A\u0438\u043C\u043E\u0434\u0435\u043B\u0438\u043F\u0440\u043E\u0433\u043D\u043E\u0437\u0430 \u0442\u0438\u043F\u043C\u0435\u0440\u044B\u0440\u0430\u0441\u0441\u0442\u043E\u044F\u043D\u0438\u044F\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043E\u0442\u0441\u0435\u0447\u0435\u043D\u0438\u044F\u043F\u0440\u0430\u0432\u0438\u043B\u0430\u0441\u0441\u043E\u0446\u0438\u0430\u0446\u0438\u0438 \u0442\u0438\u043F\u043F\u043E\u043B\u044F\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u0438\u0437\u0430\u0446\u0438\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0443\u043F\u043E\u0440\u044F\u0434\u043E\u0447\u0438\u0432\u0430\u043D\u0438\u044F\u043F\u0440\u0430\u0432\u0438\u043B\u0430\u0441\u0441\u043E\u0446\u0438\u0430\u0446\u0438\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0443\u043F\u043E\u0440\u044F\u0434\u043E\u0447\u0438\u0432\u0430\u043D\u0438\u044F\u0448\u0430\u0431\u043B\u043E\u043D\u043E\u0432\u043F\u043E\u0441\u043B\u0435\u0434\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u043D\u043E\u0441\u0442\u0435\u0439\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0443\u043F\u0440\u043E\u0449\u0435\u043D\u0438\u044F\u0434\u0435\u0440\u0435\u0432\u0430\u0440\u0435\u0448\u0435\u043D\u0438\u0439 ",z="ws\u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0430 \u0432\u0430\u0440\u0438\u0430\u043D\u0442xpathxs \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0437\u0430\u043F\u0438\u0441\u0438\u0434\u0430\u0442\u044Bjson \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u043F\u0440\u043E\u0441\u0442\u043E\u0433\u043E\u0442\u0438\u043F\u0430xs \u0432\u0438\u0434\u0433\u0440\u0443\u043F\u043F\u044B\u043C\u043E\u0434\u0435\u043B\u0438xs \u0432\u0438\u0434\u0444\u0430\u0441\u0435\u0442\u0430xdto \u0434\u0435\u0439\u0441\u0442\u0432\u0438\u0435\u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044Fdom \u0437\u0430\u0432\u0435\u0440\u0448\u0435\u043D\u043D\u043E\u0441\u0442\u044C\u043F\u0440\u043E\u0441\u0442\u043E\u0433\u043E\u0442\u0438\u043F\u0430xs \u0437\u0430\u0432\u0435\u0440\u0448\u0435\u043D\u043D\u043E\u0441\u0442\u044C\u0441\u043E\u0441\u0442\u0430\u0432\u043D\u043E\u0433\u043E\u0442\u0438\u043F\u0430xs \u0437\u0430\u0432\u0435\u0440\u0448\u0435\u043D\u043D\u043E\u0441\u0442\u044C\u0441\u0445\u0435\u043C\u044Bxs \u0437\u0430\u043F\u0440\u0435\u0449\u0435\u043D\u043D\u044B\u0435\u043F\u043E\u0434\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0438xs \u0438\u0441\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u044F\u0433\u0440\u0443\u043F\u043F\u043F\u043E\u0434\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0438xs \u043A\u0430\u0442\u0435\u0433\u043E\u0440\u0438\u044F\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0430\u0442\u0440\u0438\u0431\u0443\u0442\u0430xs \u043A\u0430\u0442\u0435\u0433\u043E\u0440\u0438\u044F\u043E\u0433\u0440\u0430\u043D\u0438\u0447\u0435\u043D\u0438\u044F\u0438\u0434\u0435\u043D\u0442\u0438\u0447\u043D\u043E\u0441\u0442\u0438xs \u043A\u0430\u0442\u0435\u0433\u043E\u0440\u0438\u044F\u043E\u0433\u0440\u0430\u043D\u0438\u0447\u0435\u043D\u0438\u044F\u043F\u0440\u043E\u0441\u0442\u0440\u0430\u043D\u0441\u0442\u0432\u0438\u043C\u0435\u043Dxs \u043C\u0435\u0442\u043E\u0434\u043D\u0430\u0441\u043B\u0435\u0434\u043E\u0432\u0430\u043D\u0438\u044Fxs \u043C\u043E\u0434\u0435\u043B\u044C\u0441\u043E\u0434\u0435\u0440\u0436\u0438\u043C\u043E\u0433\u043Exs \u043D\u0430\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0442\u0438\u043F\u0430xml \u043D\u0435\u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0435\u043F\u043E\u0434\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0438xs \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u043F\u0440\u043E\u0431\u0435\u043B\u044C\u043D\u044B\u0445\u0441\u0438\u043C\u0432\u043E\u043B\u043E\u0432xs \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u0441\u043E\u0434\u0435\u0440\u0436\u0438\u043C\u043E\u0433\u043Exs \u043E\u0433\u0440\u0430\u043D\u0438\u0447\u0435\u043D\u0438\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044Fxs \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u043E\u0442\u0431\u043E\u0440\u0430\u0443\u0437\u043B\u043E\u0432dom \u043F\u0435\u0440\u0435\u043D\u043E\u0441\u0441\u0442\u0440\u043E\u043Ajson \u043F\u043E\u0437\u0438\u0446\u0438\u044F\u0432\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0435dom \u043F\u0440\u043E\u0431\u0435\u043B\u044C\u043D\u044B\u0435\u0441\u0438\u043C\u0432\u043E\u043B\u044Bxml \u0442\u0438\u043F\u0430\u0442\u0440\u0438\u0431\u0443\u0442\u0430xml \u0442\u0438\u043F\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044Fjson \u0442\u0438\u043F\u043A\u0430\u043D\u043E\u043D\u0438\u0447\u0435\u0441\u043A\u043E\u0433\u043Exml \u0442\u0438\u043F\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u044Bxs \u0442\u0438\u043F\u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0438xml \u0442\u0438\u043F\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430domxpath \u0442\u0438\u043F\u0443\u0437\u043B\u0430dom \u0442\u0438\u043F\u0443\u0437\u043B\u0430xml \u0444\u043E\u0440\u043C\u0430xml \u0444\u043E\u0440\u043C\u0430\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u044Fxs \u0444\u043E\u0440\u043C\u0430\u0442\u0434\u0430\u0442\u044Bjson \u044D\u043A\u0440\u0430\u043D\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u0435\u0441\u0438\u043C\u0432\u043E\u043B\u043E\u0432json ",k="\u0432\u0438\u0434\u0441\u0440\u0430\u0432\u043D\u0435\u043D\u0438\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0434\u0435\u0439\u0441\u0442\u0432\u0438\u0435\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0438\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0441\u043E\u0440\u0442\u0438\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0432\u043B\u043E\u0436\u0435\u043D\u043D\u044B\u0445\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0438\u0442\u043E\u0433\u043E\u0432\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043F\u043E\u043B\u0435\u0439\u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043F\u043E\u043B\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u043E\u0432\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0440\u0435\u0441\u0443\u0440\u0441\u043E\u0432\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0431\u0443\u0445\u0433\u0430\u043B\u0442\u0435\u0440\u0441\u043A\u043E\u0433\u043E\u043E\u0441\u0442\u0430\u0442\u043A\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0432\u044B\u0432\u043E\u0434\u0430\u0442\u0435\u043A\u0441\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0433\u0440\u0443\u043F\u043F\u044B\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432\u043E\u0442\u0431\u043E\u0440\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0434\u043E\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u0430\u043F\u043E\u043B\u0435\u0439\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043C\u0430\u043A\u0435\u0442\u0430\u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043C\u0430\u043A\u0435\u0442\u0430\u043E\u0431\u043B\u0430\u0441\u0442\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043E\u0441\u0442\u0430\u0442\u043A\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0440\u0430\u0437\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u0442\u0435\u043A\u0441\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0441\u0432\u044F\u0437\u0438\u043D\u0430\u0431\u043E\u0440\u043E\u0432\u0434\u0430\u043D\u043D\u044B\u0445\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043B\u0435\u0433\u0435\u043D\u0434\u044B\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043F\u0440\u0438\u043C\u0435\u043D\u0435\u043D\u0438\u044F\u043E\u0442\u0431\u043E\u0440\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u0435\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u043F\u043E\u0441\u043E\u0431\u0432\u043E\u0441\u0441\u0442\u0430\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u044F\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0435\u0436\u0438\u043C\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0430\u0432\u0442\u043E\u043F\u043E\u0437\u0438\u0446\u0438\u044F\u0440\u0435\u0441\u0443\u0440\u0441\u043E\u0432\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0440\u0435\u0441\u0443\u0440\u0441\u043E\u0432\u0432\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0435\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0444\u0438\u043A\u0441\u0430\u0446\u0438\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0443\u0441\u043B\u043E\u0432\u043D\u043E\u0433\u043E\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 ",L="\u0432\u0430\u0436\u043D\u043E\u0441\u0442\u044C\u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0433\u043E\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u0442\u0435\u043A\u0441\u0442\u0430\u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0433\u043E\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u0441\u043F\u043E\u0441\u043E\u0431\u043A\u043E\u0434\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F\u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0433\u043E\u0432\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0441\u043F\u043E\u0441\u043E\u0431\u043A\u043E\u0434\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F\u043D\u0435ascii\u0441\u0438\u043C\u0432\u043E\u043B\u043E\u0432\u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0433\u043E\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u0442\u0438\u043F\u0442\u0435\u043A\u0441\u0442\u0430\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0433\u043E\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u043F\u0440\u043E\u0442\u043E\u043A\u043E\u043B\u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u044B \u0441\u0442\u0430\u0442\u0443\u0441\u0440\u0430\u0437\u0431\u043E\u0440\u0430\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0433\u043E\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F ",w="\u0440\u0435\u0436\u0438\u043C\u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u0438\u0437\u0430\u043F\u0438\u0441\u0438\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0441\u0442\u0430\u0442\u0443\u0441\u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u0438\u0437\u0430\u043F\u0438\u0441\u0438\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0443\u0440\u043E\u0432\u0435\u043D\u044C\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 ",M="\u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0430\u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0432\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 \u0440\u0435\u0436\u0438\u043C\u0432\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u044F\u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0432\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 \u0440\u0435\u0436\u0438\u043C\u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0438\u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u0430\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 \u0442\u0438\u043F\u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0430\u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0432\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 ",x="\u043A\u043E\u0434\u0438\u0440\u043E\u0432\u043A\u0430\u0438\u043C\u0435\u043D\u0444\u0430\u0439\u043B\u043E\u0432\u0432zip\u0444\u0430\u0439\u043B\u0435 \u043C\u0435\u0442\u043E\u0434\u0441\u0436\u0430\u0442\u0438\u044Fzip \u043C\u0435\u0442\u043E\u0434\u0448\u0438\u0444\u0440\u043E\u0432\u0430\u043D\u0438\u044Fzip \u0440\u0435\u0436\u0438\u043C\u0432\u043E\u0441\u0441\u0442\u0430\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u044F\u043F\u0443\u0442\u0435\u0439\u0444\u0430\u0439\u043B\u043E\u0432zip \u0440\u0435\u0436\u0438\u043C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0438\u043F\u043E\u0434\u043A\u0430\u0442\u0430\u043B\u043E\u0433\u043E\u0432zip \u0440\u0435\u0436\u0438\u043C\u0441\u043E\u0445\u0440\u0430\u043D\u0435\u043D\u0438\u044F\u043F\u0443\u0442\u0435\u0439zip \u0443\u0440\u043E\u0432\u0435\u043D\u044C\u0441\u0436\u0430\u0442\u0438\u044Fzip ",Y="\u0437\u0432\u0443\u043A\u043E\u0432\u043E\u0435\u043E\u043F\u043E\u0432\u0435\u0449\u0435\u043D\u0438\u0435 \u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0435\u0440\u0435\u0445\u043E\u0434\u0430\u043A\u0441\u0442\u0440\u043E\u043A\u0435 \u043F\u043E\u0437\u0438\u0446\u0438\u044F\u0432\u043F\u043E\u0442\u043E\u043A\u0435 \u043F\u043E\u0440\u044F\u0434\u043E\u043A\u0431\u0430\u0439\u0442\u043E\u0432 \u0440\u0435\u0436\u0438\u043C\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0435\u0436\u0438\u043C\u0443\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u043E\u0439\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u0435\u0440\u0432\u0438\u0441\u0432\u0441\u0442\u0440\u043E\u0435\u043D\u043D\u044B\u0445\u043F\u043E\u043A\u0443\u043F\u043E\u043A \u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u0435\u0444\u043E\u043D\u043E\u0432\u043E\u0433\u043E\u0437\u0430\u0434\u0430\u043D\u0438\u044F \u0442\u0438\u043F\u043F\u043E\u0434\u043F\u0438\u0441\u0447\u0438\u043A\u0430\u0434\u043E\u0441\u0442\u0430\u0432\u043B\u044F\u0435\u043C\u044B\u0445\u0443\u0432\u0435\u0434\u043E\u043C\u043B\u0435\u043D\u0438\u0439 \u0443\u0440\u043E\u0432\u0435\u043D\u044C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0437\u0430\u0449\u0438\u0449\u0435\u043D\u043D\u043E\u0433\u043E\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044Fftp ",J="\u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u043E\u0440\u044F\u0434\u043A\u0430\u0441\u0445\u0435\u043C\u044B\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u0442\u0438\u043F\u0434\u043E\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u043C\u0438\u0441\u0445\u0435\u043C\u044B\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u0442\u0438\u043F\u043A\u043E\u043D\u0442\u0440\u043E\u043B\u044C\u043D\u043E\u0439\u0442\u043E\u0447\u043A\u0438\u0441\u0445\u0435\u043C\u044B\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u0442\u0438\u043F\u043E\u0431\u044A\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0441\u0445\u0435\u043C\u044B\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u0442\u0438\u043F\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0430\u0434\u043E\u0441\u0442\u0443\u043F\u043D\u043E\u0439\u0442\u0430\u0431\u043B\u0438\u0446\u044B\u0441\u0445\u0435\u043C\u044B\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u0442\u0438\u043F\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0441\u0445\u0435\u043C\u044B\u0437\u0430\u043F\u0440\u043E\u0441\u0430 ",K="http\u043C\u0435\u0442\u043E\u0434 \u0430\u0432\u0442\u043E\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043E\u0431\u0449\u0435\u0433\u043E\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u0430\u0432\u0442\u043E\u043F\u0440\u0435\u0444\u0438\u043A\u0441\u043D\u043E\u043C\u0435\u0440\u0430\u0437\u0430\u0434\u0430\u0447\u0438 \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0432\u0441\u0442\u0440\u043E\u0435\u043D\u043D\u043E\u0433\u043E\u044F\u0437\u044B\u043A\u0430 \u0432\u0438\u0434\u0438\u0435\u0440\u0430\u0440\u0445\u0438\u0438 \u0432\u0438\u0434\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u043D\u0430\u043A\u043E\u043F\u043B\u0435\u043D\u0438\u044F \u0432\u0438\u0434\u0442\u0430\u0431\u043B\u0438\u0446\u044B\u0432\u043D\u0435\u0448\u043D\u0435\u0433\u043E\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0437\u0430\u043F\u0438\u0441\u044C\u0434\u0432\u0438\u0436\u0435\u043D\u0438\u0439\u043F\u0440\u0438\u043F\u0440\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u0438 \u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u0435\u043F\u043E\u0441\u043B\u0435\u0434\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u043D\u043E\u0441\u0442\u0435\u0439 \u0438\u043D\u0434\u0435\u043A\u0441\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u0435 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0431\u0430\u0437\u044B\u043F\u043B\u0430\u043D\u0430\u0432\u0438\u0434\u043E\u0432\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0431\u044B\u0441\u0442\u0440\u043E\u0433\u043E\u0432\u044B\u0431\u043E\u0440\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043E\u0431\u0449\u0435\u0433\u043E\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043F\u043E\u0434\u0447\u0438\u043D\u0435\u043D\u0438\u044F \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043F\u043E\u043B\u043D\u043E\u0442\u0435\u043A\u0441\u0442\u043E\u0432\u043E\u0433\u043E\u043F\u043E\u0438\u0441\u043A\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0440\u0430\u0437\u0434\u0435\u043B\u044F\u0435\u043C\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445\u043E\u0431\u0449\u0435\u0433\u043E\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u043D\u0430\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u043D\u0430\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438 \u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0435\u0440\u0435\u0434\u0430\u0447\u0438 \u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445 \u043E\u043F\u0435\u0440\u0430\u0442\u0438\u0432\u043D\u043E\u0435\u043F\u0440\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u0435 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0432\u0438\u0434\u0430\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0432\u0438\u0434\u0430\u0445\u0430\u0440\u0430\u043A\u0442\u0435\u0440\u0438\u0441\u0442\u0438\u043A\u0438 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0437\u0430\u0434\u0430\u0447\u0438 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u043B\u0430\u043D\u0430\u043E\u0431\u043C\u0435\u043D\u0430 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0441\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A\u0430 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0441\u0447\u0435\u0442\u0430 \u043F\u0435\u0440\u0435\u043C\u0435\u0449\u0435\u043D\u0438\u0435\u0433\u0440\u0430\u043D\u0438\u0446\u044B\u043F\u0440\u0438\u043F\u0440\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u0438 \u043F\u0435\u0440\u0438\u043E\u0434\u0438\u0447\u043D\u043E\u0441\u0442\u044C\u043D\u043E\u043C\u0435\u0440\u0430\u0431\u0438\u0437\u043D\u0435\u0441\u043F\u0440\u043E\u0446\u0435\u0441\u0441\u0430 \u043F\u0435\u0440\u0438\u043E\u0434\u0438\u0447\u043D\u043E\u0441\u0442\u044C\u043D\u043E\u043C\u0435\u0440\u0430\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u043F\u0435\u0440\u0438\u043E\u0434\u0438\u0447\u043D\u043E\u0441\u0442\u044C\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u043F\u0435\u0440\u0438\u043E\u0434\u0438\u0447\u043D\u043E\u0441\u0442\u044C\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0441\u0432\u0435\u0434\u0435\u043D\u0438\u0439 \u043F\u043E\u0432\u0442\u043E\u0440\u043D\u043E\u0435\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0432\u043E\u0437\u0432\u0440\u0430\u0449\u0430\u0435\u043C\u044B\u0445\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439 \u043F\u043E\u043B\u043D\u043E\u0442\u0435\u043A\u0441\u0442\u043E\u0432\u044B\u0439\u043F\u043E\u0438\u0441\u043A\u043F\u0440\u0438\u0432\u0432\u043E\u0434\u0435\u043F\u043E\u0441\u0442\u0440\u043E\u043A\u0435 \u043F\u0440\u0438\u043D\u0430\u0434\u043B\u0435\u0436\u043D\u043E\u0441\u0442\u044C\u043E\u0431\u044A\u0435\u043A\u0442\u0430 \u043F\u0440\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u0435 \u0440\u0430\u0437\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0430\u0443\u0442\u0435\u043D\u0442\u0438\u0444\u0438\u043A\u0430\u0446\u0438\u0438\u043E\u0431\u0449\u0435\u0433\u043E\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u0440\u0430\u0437\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0434\u0430\u043D\u043D\u044B\u0445\u043E\u0431\u0449\u0435\u0433\u043E\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u0440\u0430\u0437\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0439\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438\u043E\u0431\u0449\u0435\u0433\u043E\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u0440\u0435\u0436\u0438\u043C\u0430\u0432\u0442\u043E\u043D\u0443\u043C\u0435\u0440\u0430\u0446\u0438\u0438\u043E\u0431\u044A\u0435\u043A\u0442\u043E\u0432 \u0440\u0435\u0436\u0438\u043C\u0437\u0430\u043F\u0438\u0441\u0438\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430 \u0440\u0435\u0436\u0438\u043C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u043C\u043E\u0434\u0430\u043B\u044C\u043D\u043E\u0441\u0442\u0438 \u0440\u0435\u0436\u0438\u043C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0441\u0438\u043D\u0445\u0440\u043E\u043D\u043D\u044B\u0445\u0432\u044B\u0437\u043E\u0432\u043E\u0432\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0439\u043F\u043B\u0430\u0442\u0444\u043E\u0440\u043C\u044B\u0438\u0432\u043D\u0435\u0448\u043D\u0438\u0445\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442 \u0440\u0435\u0436\u0438\u043C\u043F\u043E\u0432\u0442\u043E\u0440\u043D\u043E\u0433\u043E\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0441\u0435\u0430\u043D\u0441\u043E\u0432 \u0440\u0435\u0436\u0438\u043C\u043F\u043E\u043B\u0443\u0447\u0435\u043D\u0438\u044F\u0434\u0430\u043D\u043D\u044B\u0445\u0432\u044B\u0431\u043E\u0440\u0430\u043F\u0440\u0438\u0432\u0432\u043E\u0434\u0435\u043F\u043E\u0441\u0442\u0440\u043E\u043A\u0435 \u0440\u0435\u0436\u0438\u043C\u0441\u043E\u0432\u043C\u0435\u0441\u0442\u0438\u043C\u043E\u0441\u0442\u0438 \u0440\u0435\u0436\u0438\u043C\u0441\u043E\u0432\u043C\u0435\u0441\u0442\u0438\u043C\u043E\u0441\u0442\u0438\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430 \u0440\u0435\u0436\u0438\u043C\u0443\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u043E\u0439\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u0443\u043C\u043E\u043B\u0447\u0430\u043D\u0438\u044E \u0441\u0435\u0440\u0438\u0438\u043A\u043E\u0434\u043E\u0432\u043F\u043B\u0430\u043D\u0430\u0432\u0438\u0434\u043E\u0432\u0445\u0430\u0440\u0430\u043A\u0442\u0435\u0440\u0438\u0441\u0442\u0438\u043A \u0441\u0435\u0440\u0438\u0438\u043A\u043E\u0434\u043E\u0432\u043F\u043B\u0430\u043D\u0430\u0441\u0447\u0435\u0442\u043E\u0432 \u0441\u0435\u0440\u0438\u0438\u043A\u043E\u0434\u043E\u0432\u0441\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A\u0430 \u0441\u043E\u0437\u0434\u0430\u043D\u0438\u0435\u043F\u0440\u0438\u0432\u0432\u043E\u0434\u0435 \u0441\u043F\u043E\u0441\u043E\u0431\u0432\u044B\u0431\u043E\u0440\u0430 \u0441\u043F\u043E\u0441\u043E\u0431\u043F\u043E\u0438\u0441\u043A\u0430\u0441\u0442\u0440\u043E\u043A\u0438\u043F\u0440\u0438\u0432\u0432\u043E\u0434\u0435\u043F\u043E\u0441\u0442\u0440\u043E\u043A\u0435 \u0441\u043F\u043E\u0441\u043E\u0431\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u0442\u0438\u043F\u0434\u0430\u043D\u043D\u044B\u0445\u0442\u0430\u0431\u043B\u0438\u0446\u044B\u0432\u043D\u0435\u0448\u043D\u0435\u0433\u043E\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043A\u043E\u0434\u0430\u043F\u043B\u0430\u043D\u0430\u0432\u0438\u0434\u043E\u0432\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u0442\u0438\u043F\u043A\u043E\u0434\u0430\u0441\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A\u0430 \u0442\u0438\u043F\u043C\u0430\u043A\u0435\u0442\u0430 \u0442\u0438\u043F\u043D\u043E\u043C\u0435\u0440\u0430\u0431\u0438\u0437\u043D\u0435\u0441\u043F\u0440\u043E\u0446\u0435\u0441\u0441\u0430 \u0442\u0438\u043F\u043D\u043E\u043C\u0435\u0440\u0430\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043D\u043E\u043C\u0435\u0440\u0430\u0437\u0430\u0434\u0430\u0447\u0438 \u0442\u0438\u043F\u0444\u043E\u0440\u043C\u044B \u0443\u0434\u0430\u043B\u0435\u043D\u0438\u0435\u0434\u0432\u0438\u0436\u0435\u043D\u0438\u0439 ",re="\u0432\u0430\u0436\u043D\u043E\u0441\u0442\u044C\u043F\u0440\u043E\u0431\u043B\u0435\u043C\u044B\u043F\u0440\u0438\u043C\u0435\u043D\u0435\u043D\u0438\u044F\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438 \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u043C\u0430\u0441\u0448\u0442\u0430\u0431\u0430\u0444\u043E\u0440\u043C\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0433\u043E\u0448\u0440\u0438\u0444\u0442\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u043F\u0435\u0440\u0438\u043E\u0434\u0430 \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0439\u0434\u0430\u0442\u044B\u043D\u0430\u0447\u0430\u043B\u0430 \u0432\u0438\u0434\u0433\u0440\u0430\u043D\u0438\u0446\u044B \u0432\u0438\u0434\u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0438 \u0432\u0438\u0434\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u043F\u043E\u043B\u043D\u043E\u0442\u0435\u043A\u0441\u0442\u043E\u0432\u043E\u0433\u043E\u043F\u043E\u0438\u0441\u043A\u0430 \u0432\u0438\u0434\u0440\u0430\u043C\u043A\u0438 \u0432\u0438\u0434\u0441\u0440\u0430\u0432\u043D\u0435\u043D\u0438\u044F \u0432\u0438\u0434\u0446\u0432\u0435\u0442\u0430 \u0432\u0438\u0434\u0447\u0438\u0441\u043B\u043E\u0432\u043E\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u0432\u0438\u0434\u0448\u0440\u0438\u0444\u0442\u0430 \u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u0430\u044F\u0434\u043B\u0438\u043D\u0430 \u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0439\u0437\u043D\u0430\u043A \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435byteordermark \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043C\u0435\u0442\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u043B\u043D\u043E\u0442\u0435\u043A\u0441\u0442\u043E\u0432\u043E\u0433\u043E\u043F\u043E\u0438\u0441\u043A\u0430 \u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0439\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438 \u043A\u043B\u0430\u0432\u0438\u0448\u0430 \u043A\u043E\u0434\u0432\u043E\u0437\u0432\u0440\u0430\u0442\u0430\u0434\u0438\u0430\u043B\u043E\u0433\u0430 \u043A\u043E\u0434\u0438\u0440\u043E\u0432\u043A\u0430xbase \u043A\u043E\u0434\u0438\u0440\u043E\u0432\u043A\u0430\u0442\u0435\u043A\u0441\u0442\u0430 \u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u043E\u0438\u0441\u043A\u0430 \u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0441\u043E\u0440\u0442\u0438\u0440\u043E\u0432\u043A\u0438 \u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445 \u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0438\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0438\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043F\u0430\u043D\u0435\u043B\u0438\u0440\u0430\u0437\u0434\u0435\u043B\u043E\u0432 \u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0430\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u0434\u0438\u0430\u043B\u043E\u0433\u0430\u0432\u043E\u043F\u0440\u043E\u0441 \u0440\u0435\u0436\u0438\u043C\u0437\u0430\u043F\u0443\u0441\u043A\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u043E\u043A\u0440\u0443\u0433\u043B\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043A\u0440\u044B\u0442\u0438\u044F\u0444\u043E\u0440\u043C\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u043F\u043E\u043B\u043D\u043E\u0442\u0435\u043A\u0441\u0442\u043E\u0432\u043E\u0433\u043E\u043F\u043E\u0438\u0441\u043A\u0430 \u0441\u043A\u043E\u0440\u043E\u0441\u0442\u044C\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F \u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u0435\u0432\u043D\u0435\u0448\u043D\u0435\u0433\u043E\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u0435\u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u044F\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438\u0431\u0430\u0437\u044B\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u043F\u043E\u0441\u043E\u0431\u0432\u044B\u0431\u043E\u0440\u0430\u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u0430windows \u0441\u043F\u043E\u0441\u043E\u0431\u043A\u043E\u0434\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F\u0441\u0442\u0440\u043E\u043A\u0438 \u0441\u0442\u0430\u0442\u0443\u0441\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u0442\u0438\u043F\u0432\u043D\u0435\u0448\u043D\u0435\u0439\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u044B \u0442\u0438\u043F\u043F\u043B\u0430\u0442\u0444\u043E\u0440\u043C\u044B \u0442\u0438\u043F\u043F\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u044F\u043A\u043B\u0430\u0432\u0438\u0448\u0438enter \u0442\u0438\u043F\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u0438\u043E\u0432\u044B\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u0438\u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u044F\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438\u0431\u0430\u0437\u044B\u0434\u0430\u043D\u043D\u044B\u0445 \u0443\u0440\u043E\u0432\u0435\u043D\u044C\u0438\u0437\u043E\u043B\u044F\u0446\u0438\u0438\u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u0439 \u0445\u0435\u0448\u0444\u0443\u043D\u043A\u0446\u0438\u044F \u0447\u0430\u0441\u0442\u0438\u0434\u0430\u0442\u044B",Z=m+y+b+C+E+v+O+S+A+P+F+G+z+k+L+w+M+x+Y+J+K+re,Q="com\u043E\u0431\u044A\u0435\u043A\u0442 ftp\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435 http\u0437\u0430\u043F\u0440\u043E\u0441 http\u0441\u0435\u0440\u0432\u0438\u0441\u043E\u0442\u0432\u0435\u0442 http\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435 ws\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u044F ws\u043F\u0440\u043E\u043A\u0441\u0438 xbase \u0430\u043D\u0430\u043B\u0438\u0437\u0434\u0430\u043D\u043D\u044B\u0445 \u0430\u043D\u043D\u043E\u0442\u0430\u0446\u0438\u044Fxs \u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0431\u0443\u0444\u0435\u0440\u0434\u0432\u043E\u0438\u0447\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445 \u0432\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435xs \u0432\u044B\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0433\u0435\u043D\u0435\u0440\u0430\u0442\u043E\u0440\u0441\u043B\u0443\u0447\u0430\u0439\u043D\u044B\u0445\u0447\u0438\u0441\u0435\u043B \u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u0430\u044F\u0441\u0445\u0435\u043C\u0430 \u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u0438\u0435\u043A\u043E\u043E\u0440\u0434\u0438\u043D\u0430\u0442\u044B \u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u0430\u044F\u0441\u0445\u0435\u043C\u0430 \u0433\u0440\u0443\u043F\u043F\u0430\u043C\u043E\u0434\u0435\u043B\u0438xs \u0434\u0430\u043D\u043D\u044B\u0435\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0434\u0432\u043E\u0438\u0447\u043D\u044B\u0435\u0434\u0430\u043D\u043D\u044B\u0435 \u0434\u0435\u043D\u0434\u0440\u043E\u0433\u0440\u0430\u043C\u043C\u0430 \u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0430 \u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0430\u0433\u0430\u043D\u0442\u0430 \u0434\u0438\u0430\u043B\u043E\u0433\u0432\u044B\u0431\u043E\u0440\u0430\u0444\u0430\u0439\u043B\u0430 \u0434\u0438\u0430\u043B\u043E\u0433\u0432\u044B\u0431\u043E\u0440\u0430\u0446\u0432\u0435\u0442\u0430 \u0434\u0438\u0430\u043B\u043E\u0433\u0432\u044B\u0431\u043E\u0440\u0430\u0448\u0440\u0438\u0444\u0442\u0430 \u0434\u0438\u0430\u043B\u043E\u0433\u0440\u0430\u0441\u043F\u0438\u0441\u0430\u043D\u0438\u044F\u0440\u0435\u0433\u043B\u0430\u043C\u0435\u043D\u0442\u043D\u043E\u0433\u043E\u0437\u0430\u0434\u0430\u043D\u0438\u044F \u0434\u0438\u0430\u043B\u043E\u0433\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u043F\u0435\u0440\u0438\u043E\u0434\u0430 \u0434\u0438\u0430\u043F\u0430\u0437\u043E\u043D \u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442dom \u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442html \u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430\u0446\u0438\u044Fxs \u0434\u043E\u0441\u0442\u0430\u0432\u043B\u044F\u0435\u043C\u043E\u0435\u0443\u0432\u0435\u0434\u043E\u043C\u043B\u0435\u043D\u0438\u0435 \u0437\u0430\u043F\u0438\u0441\u044Cdom \u0437\u0430\u043F\u0438\u0441\u044Cfastinfoset \u0437\u0430\u043F\u0438\u0441\u044Chtml \u0437\u0430\u043F\u0438\u0441\u044Cjson \u0437\u0430\u043F\u0438\u0441\u044Cxml \u0437\u0430\u043F\u0438\u0441\u044Czip\u0444\u0430\u0439\u043B\u0430 \u0437\u0430\u043F\u0438\u0441\u044C\u0434\u0430\u043D\u043D\u044B\u0445 \u0437\u0430\u043F\u0438\u0441\u044C\u0442\u0435\u043A\u0441\u0442\u0430 \u0437\u0430\u043F\u0438\u0441\u044C\u0443\u0437\u043B\u043E\u0432dom \u0437\u0430\u043F\u0440\u043E\u0441 \u0437\u0430\u0449\u0438\u0449\u0435\u043D\u043D\u043E\u0435\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435openssl \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u043F\u043E\u043B\u0435\u0439\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0438\u0437\u0432\u043B\u0435\u0447\u0435\u043D\u0438\u0435\u0442\u0435\u043A\u0441\u0442\u0430 \u0438\u043C\u043F\u043E\u0440\u0442xs \u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u0430 \u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0435\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u0435 \u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u043E\u0432\u044B\u0439\u043F\u0440\u043E\u0444\u0438\u043B\u044C \u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u0440\u043E\u043A\u0441\u0438 \u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435 \u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044F\u0434\u043B\u044F\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044Fxs \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0430\u0442\u0440\u0438\u0431\u0443\u0442\u0430xs \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0441\u043E\u0431\u044B\u0442\u0438\u044F\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0434\u043E\u0441\u0442\u0443\u043F\u043D\u044B\u0445\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0438\u0442\u0435\u0440\u0430\u0442\u043E\u0440\u0443\u0437\u043B\u043E\u0432dom \u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0430 \u043A\u0432\u0430\u043B\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0440\u044B\u0434\u0430\u0442\u044B \u043A\u0432\u0430\u043B\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0440\u044B\u0434\u0432\u043E\u0438\u0447\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445 \u043A\u0432\u0430\u043B\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0440\u044B\u0441\u0442\u0440\u043E\u043A\u0438 \u043A\u0432\u0430\u043B\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0440\u044B\u0447\u0438\u0441\u043B\u0430 \u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u0449\u0438\u043A\u043C\u0430\u043A\u0435\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u0449\u0438\u043A\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043A\u043E\u043D\u0441\u0442\u0440\u0443\u043A\u0442\u043E\u0440\u043C\u0430\u043A\u0435\u0442\u0430\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043A\u043E\u043D\u0441\u0442\u0440\u0443\u043A\u0442\u043E\u0440\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043A\u043E\u043D\u0441\u0442\u0440\u0443\u043A\u0442\u043E\u0440\u0444\u043E\u0440\u043C\u0430\u0442\u043D\u043E\u0439\u0441\u0442\u0440\u043E\u043A\u0438 \u043B\u0438\u043D\u0438\u044F \u043C\u0430\u043A\u0435\u0442\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043C\u0430\u043A\u0435\u0442\u043E\u0431\u043B\u0430\u0441\u0442\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043C\u0430\u043A\u0435\u0442\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043C\u0430\u0441\u043A\u0430xs \u043C\u0435\u043D\u0435\u0434\u0436\u0435\u0440\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 \u043D\u0430\u0431\u043E\u0440\u0441\u0445\u0435\u043Cxml \u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u0441\u0435\u0440\u0438\u0430\u043B\u0438\u0437\u0430\u0446\u0438\u0438json \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u043A\u0430\u0440\u0442\u0438\u043D\u043E\u043A \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043E\u0431\u0445\u043E\u0434\u0434\u0435\u0440\u0435\u0432\u0430dom \u043E\u0431\u044A\u044F\u0432\u043B\u0435\u043D\u0438\u0435\u0430\u0442\u0440\u0438\u0431\u0443\u0442\u0430xs \u043E\u0431\u044A\u044F\u0432\u043B\u0435\u043D\u0438\u0435\u043D\u043E\u0442\u0430\u0446\u0438\u0438xs \u043E\u0431\u044A\u044F\u0432\u043B\u0435\u043D\u0438\u0435\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430xs \u043E\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0441\u043E\u0431\u044B\u0442\u0438\u044F\u0434\u043E\u0441\u0442\u0443\u043F\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043E\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0441\u043E\u0431\u044B\u0442\u0438\u044F\u043E\u0442\u043A\u0430\u0437\u0432\u0434\u043E\u0441\u0442\u0443\u043F\u0435\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043E\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0438\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043E\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u043F\u0435\u0440\u0435\u0434\u0430\u0432\u0430\u0435\u043C\u043E\u0433\u043E\u0444\u0430\u0439\u043B\u0430 \u043E\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u0442\u0438\u043F\u043E\u0432 \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0433\u0440\u0443\u043F\u043F\u044B\u0430\u0442\u0440\u0438\u0431\u0443\u0442\u043E\u0432xs \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0433\u0440\u0443\u043F\u043F\u044B\u043C\u043E\u0434\u0435\u043B\u0438xs \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u043E\u0433\u0440\u0430\u043D\u0438\u0447\u0435\u043D\u0438\u044F\u0438\u0434\u0435\u043D\u0442\u0438\u0447\u043D\u043E\u0441\u0442\u0438xs \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u043E\u0441\u0442\u043E\u0433\u043E\u0442\u0438\u043F\u0430xs \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0441\u043E\u0441\u0442\u0430\u0432\u043D\u043E\u0433\u043E\u0442\u0438\u043F\u0430xs \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0442\u0438\u043F\u0430\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430dom \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u044Fxpathxs \u043E\u0442\u0431\u043E\u0440\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u0430\u043A\u0435\u0442\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0430\u0435\u043C\u044B\u0445\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u043E\u0432 \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0432\u044B\u0431\u043E\u0440\u0430 \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0437\u0430\u043F\u0438\u0441\u0438json \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0437\u0430\u043F\u0438\u0441\u0438xml \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0447\u0442\u0435\u043D\u0438\u044Fxml \u043F\u0435\u0440\u0435\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435xs \u043F\u043B\u0430\u043D\u0438\u0440\u043E\u0432\u0449\u0438\u043A \u043F\u043E\u043B\u0435\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u043B\u0435\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044Cdom \u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044C\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044C\u043E\u0442\u0447\u0435\u0442\u0430 \u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044C\u043E\u0442\u0447\u0435\u0442\u0430\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044C\u0441\u0445\u0435\u043Cxml \u043F\u043E\u0442\u043E\u043A \u043F\u043E\u0442\u043E\u043A\u0432\u043F\u0430\u043C\u044F\u0442\u0438 \u043F\u043E\u0447\u0442\u0430 \u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0435\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u0435 \u043F\u0440\u0435\u043E\u0431\u0440\u0430\u0437\u043E\u0432\u0430\u043D\u0438\u0435xsl \u043F\u0440\u0435\u043E\u0431\u0440\u0430\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043A\u043A\u0430\u043D\u043E\u043D\u0438\u0447\u0435\u0441\u043A\u043E\u043C\u0443xml \u043F\u0440\u043E\u0446\u0435\u0441\u0441\u043E\u0440\u0432\u044B\u0432\u043E\u0434\u0430\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445\u0432\u043A\u043E\u043B\u043B\u0435\u043A\u0446\u0438\u044E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439 \u043F\u0440\u043E\u0446\u0435\u0441\u0441\u043E\u0440\u0432\u044B\u0432\u043E\u0434\u0430\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445\u0432\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u044B\u0439\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442 \u043F\u0440\u043E\u0446\u0435\u0441\u0441\u043E\u0440\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0437\u044B\u043C\u0435\u043D\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u043F\u0440\u043E\u0441\u0442\u0440\u0430\u043D\u0441\u0442\u0432\u0438\u043C\u0435\u043Ddom \u0440\u0430\u043C\u043A\u0430 \u0440\u0430\u0441\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u0440\u0435\u0433\u043B\u0430\u043C\u0435\u043D\u0442\u043D\u043E\u0433\u043E\u0437\u0430\u0434\u0430\u043D\u0438\u044F \u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u043D\u043E\u0435\u0438\u043C\u044Fxml \u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0447\u0442\u0435\u043D\u0438\u044F\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u0432\u043E\u0434\u043D\u0430\u044F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0430 \u0441\u0432\u044F\u0437\u044C\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0430\u0432\u044B\u0431\u043E\u0440\u0430 \u0441\u0432\u044F\u0437\u044C\u043F\u043E\u0442\u0438\u043F\u0443 \u0441\u0432\u044F\u0437\u044C\u043F\u043E\u0442\u0438\u043F\u0443\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u0435\u0440\u0438\u0430\u043B\u0438\u0437\u0430\u0442\u043E\u0440xdto \u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043A\u043B\u0438\u0435\u043D\u0442\u0430windows \u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043A\u043B\u0438\u0435\u043D\u0442\u0430\u0444\u0430\u0439\u043B \u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 \u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u044B\u0443\u0434\u043E\u0441\u0442\u043E\u0432\u0435\u0440\u044F\u044E\u0449\u0438\u0445\u0446\u0435\u043D\u0442\u0440\u043E\u0432windows \u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u044B\u0443\u0434\u043E\u0441\u0442\u043E\u0432\u0435\u0440\u044F\u044E\u0449\u0438\u0445\u0446\u0435\u043D\u0442\u0440\u043E\u0432\u0444\u0430\u0439\u043B \u0441\u0436\u0430\u0442\u0438\u0435\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u0438\u0441\u0442\u0435\u043C\u043D\u0430\u044F\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044F \u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u0435\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044E \u0441\u043E\u0447\u0435\u0442\u0430\u043D\u0438\u0435\u043A\u043B\u0430\u0432\u0438\u0448 \u0441\u0440\u0430\u0432\u043D\u0435\u043D\u0438\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439 \u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u0430\u044F\u0434\u0430\u0442\u0430\u043D\u0430\u0447\u0430\u043B\u0430 \u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u044B\u0439\u043F\u0435\u0440\u0438\u043E\u0434 \u0441\u0445\u0435\u043C\u0430xml \u0441\u0445\u0435\u043C\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0430\u0431\u043B\u0438\u0447\u043D\u044B\u0439\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442 \u0442\u0435\u043A\u0441\u0442\u043E\u0432\u044B\u0439\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442 \u0442\u0435\u0441\u0442\u0438\u0440\u0443\u0435\u043C\u043E\u0435\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u0435 \u0442\u0438\u043F\u0434\u0430\u043D\u043D\u044B\u0445xml \u0443\u043D\u0438\u043A\u0430\u043B\u044C\u043D\u044B\u0439\u0438\u0434\u0435\u043D\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0440 \u0444\u0430\u0431\u0440\u0438\u043A\u0430xdto \u0444\u0430\u0439\u043B \u0444\u0430\u0439\u043B\u043E\u0432\u044B\u0439\u043F\u043E\u0442\u043E\u043A \u0444\u0430\u0441\u0435\u0442\u0434\u043B\u0438\u043D\u044Bxs \u0444\u0430\u0441\u0435\u0442\u043A\u043E\u043B\u0438\u0447\u0435\u0441\u0442\u0432\u0430\u0440\u0430\u0437\u0440\u044F\u0434\u043E\u0432\u0434\u0440\u043E\u0431\u043D\u043E\u0439\u0447\u0430\u0441\u0442\u0438xs \u0444\u0430\u0441\u0435\u0442\u043C\u0430\u043A\u0441\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0433\u043E\u0432\u043A\u043B\u044E\u0447\u0430\u044E\u0449\u0435\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044Fxs \u0444\u0430\u0441\u0435\u0442\u043C\u0430\u043A\u0441\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0433\u043E\u0438\u0441\u043A\u043B\u044E\u0447\u0430\u044E\u0449\u0435\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044Fxs \u0444\u0430\u0441\u0435\u0442\u043C\u0430\u043A\u0441\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0439\u0434\u043B\u0438\u043D\u044Bxs \u0444\u0430\u0441\u0435\u0442\u043C\u0438\u043D\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0433\u043E\u0432\u043A\u043B\u044E\u0447\u0430\u044E\u0449\u0435\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044Fxs \u0444\u0430\u0441\u0435\u0442\u043C\u0438\u043D\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0433\u043E\u0438\u0441\u043A\u043B\u044E\u0447\u0430\u044E\u0449\u0435\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044Fxs \u0444\u0430\u0441\u0435\u0442\u043C\u0438\u043D\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0439\u0434\u043B\u0438\u043D\u044Bxs \u0444\u0430\u0441\u0435\u0442\u043E\u0431\u0440\u0430\u0437\u0446\u0430xs \u0444\u0430\u0441\u0435\u0442\u043E\u0431\u0449\u0435\u0433\u043E\u043A\u043E\u043B\u0438\u0447\u0435\u0441\u0442\u0432\u0430\u0440\u0430\u0437\u0440\u044F\u0434\u043E\u0432xs \u0444\u0430\u0441\u0435\u0442\u043F\u0435\u0440\u0435\u0447\u0438\u0441\u043B\u0435\u043D\u0438\u044Fxs \u0444\u0430\u0441\u0435\u0442\u043F\u0440\u043E\u0431\u0435\u043B\u044C\u043D\u044B\u0445\u0441\u0438\u043C\u0432\u043E\u043B\u043E\u0432xs \u0444\u0438\u043B\u044C\u0442\u0440\u0443\u0437\u043B\u043E\u0432dom \u0444\u043E\u0440\u043C\u0430\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u0430\u044F\u0441\u0442\u0440\u043E\u043A\u0430 \u0444\u043E\u0440\u043C\u0430\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u044B\u0439\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442 \u0444\u0440\u0430\u0433\u043C\u0435\u043D\u0442xs \u0445\u0435\u0448\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u0435\u0434\u0430\u043D\u043D\u044B\u0445 \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u0446\u0432\u0435\u0442 \u0447\u0442\u0435\u043D\u0438\u0435fastinfoset \u0447\u0442\u0435\u043D\u0438\u0435html \u0447\u0442\u0435\u043D\u0438\u0435json \u0447\u0442\u0435\u043D\u0438\u0435xml \u0447\u0442\u0435\u043D\u0438\u0435zip\u0444\u0430\u0439\u043B\u0430 \u0447\u0442\u0435\u043D\u0438\u0435\u0434\u0430\u043D\u043D\u044B\u0445 \u0447\u0442\u0435\u043D\u0438\u0435\u0442\u0435\u043A\u0441\u0442\u0430 \u0447\u0442\u0435\u043D\u0438\u0435\u0443\u0437\u043B\u043E\u0432dom \u0448\u0440\u0438\u0444\u0442 \u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 "+"comsafearray \u0434\u0435\u0440\u0435\u0432\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439 \u043C\u0430\u0441\u0441\u0438\u0432 \u0441\u043E\u043E\u0442\u0432\u0435\u0442\u0441\u0442\u0432\u0438\u0435 \u0441\u043F\u0438\u0441\u043E\u043A\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439 \u0441\u0442\u0440\u0443\u043A\u0442\u0443\u0440\u0430 \u0442\u0430\u0431\u043B\u0438\u0446\u0430\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439 \u0444\u0438\u043A\u0441\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u0430\u044F\u0441\u0442\u0440\u0443\u043A\u0442\u0443\u0440\u0430 \u0444\u0438\u043A\u0441\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u043E\u0435\u0441\u043E\u043E\u0442\u0432\u0435\u0442\u0441\u0442\u0432\u0438\u0435 \u0444\u0438\u043A\u0441\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u044B\u0439\u043C\u0430\u0441\u0441\u0438\u0432 ",X="null \u0438\u0441\u0442\u0438\u043D\u0430 \u043B\u043E\u0436\u044C \u043D\u0435\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043E",le=e.inherit(e.NUMBER_MODE),ae={className:"string",begin:'"|\\|',end:'"|$',contains:[{begin:'""'}]},W={begin:"'",end:"'",excludeBegin:!0,excludeEnd:!0,contains:[{className:"number",begin:"\\d{4}([\\.\\\\/:-]?\\d{2}){0,5}"}]},ie=e.inherit(e.C_LINE_COMMENT_MODE),de={className:"meta",begin:"#|&",end:"$",keywords:{$pattern:t,keyword:a+c},contains:[ie]},ce={className:"symbol",begin:"~",end:";|:",excludeEnd:!0},Ee={className:"function",variants:[{begin:"\u043F\u0440\u043E\u0446\u0435\u0434\u0443\u0440\u0430|\u0444\u0443\u043D\u043A\u0446\u0438\u044F",end:"\\)",keywords:"\u043F\u0440\u043E\u0446\u0435\u0434\u0443\u0440\u0430 \u0444\u0443\u043D\u043A\u0446\u0438\u044F"},{begin:"\u043A\u043E\u043D\u0435\u0446\u043F\u0440\u043E\u0446\u0435\u0434\u0443\u0440\u044B|\u043A\u043E\u043D\u0435\u0446\u0444\u0443\u043D\u043A\u0446\u0438\u0438",keywords:"\u043A\u043E\u043D\u0435\u0446\u043F\u0440\u043E\u0446\u0435\u0434\u0443\u0440\u044B \u043A\u043E\u043D\u0435\u0446\u0444\u0443\u043D\u043A\u0446\u0438\u0438"}],contains:[{begin:"\\(",end:"\\)",endsParent:!0,contains:[{className:"params",begin:t,end:",",excludeEnd:!0,endsWithParent:!0,keywords:{$pattern:t,keyword:"\u0437\u043D\u0430\u0447",literal:X},contains:[le,ae,W]},ie]},e.inherit(e.TITLE_MODE,{begin:t})]};return{name:"1C:Enterprise",case_insensitive:!0,keywords:{$pattern:t,keyword:a,built_in:p,class:Z,type:Q,literal:X},contains:[de,Ee,ie,ce,le,ae,W]}}var a$=i$;function o$(e){const t=e.regex,n=/^[a-zA-Z][a-zA-Z0-9-]*/,r=["ALPHA","BIT","CHAR","CR","CRLF","CTL","DIGIT","DQUOTE","HEXDIG","HTAB","LF","LWSP","OCTET","SP","VCHAR","WSP"],a=e.COMMENT(/;/,/$/),l={scope:"symbol",match:/%b[0-1]+(-[0-1]+|(\.[0-1]+)+)?/},u={scope:"symbol",match:/%d[0-9]+(-[0-9]+|(\.[0-9]+)+)?/},c={scope:"symbol",match:/%x[0-9A-F]+(-[0-9A-F]+|(\.[0-9A-F]+)+)?/},d={scope:"symbol",match:/%[si](?=".*")/},g={scope:"attribute",match:t.concat(n,/(?=\s*=)/)};return{name:"Augmented Backus-Naur Form",illegal:/[!@#$^&',?+~`|:]/,keywords:r,contains:[{scope:"operator",match:/=\/?/},g,a,l,u,c,d,e.QUOTE_STRING_MODE,e.NUMBER_MODE]}}var s$=o$;function l$(e){const t=e.regex,n=["GET","POST","HEAD","PUT","DELETE","CONNECT","OPTIONS","PATCH","TRACE"];return{name:"Apache Access Log",contains:[{className:"number",begin:/^\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(:\d{1,5})?\b/,relevance:5},{className:"number",begin:/\b\d+\b/,relevance:0},{className:"string",begin:t.concat(/"/,t.either(...n)),end:/"/,keywords:n,illegal:/\n/,relevance:5,contains:[{begin:/HTTP\/[12]\.\d'/,relevance:5}]},{className:"string",begin:/\[\d[^\]\n]{8,}\]/,illegal:/\n/,relevance:1},{className:"string",begin:/\[/,end:/\]/,illegal:/\n/,relevance:0},{className:"string",begin:/"Mozilla\/\d\.\d \(/,end:/"/,illegal:/\n/,relevance:3},{className:"string",begin:/"/,end:/"/,illegal:/\n/,relevance:0}]}}var c$=l$;function u$(e){const t=e.regex,n=/[a-zA-Z_$][a-zA-Z0-9_$]*/,r=t.concat(n,t.concat("(\\.",n,")*")),a=/([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)/,l={className:"rest_arg",begin:/[.]{3}/,end:n,relevance:10};return{name:"ActionScript",aliases:["as"],keywords:{keyword:["as","break","case","catch","class","const","continue","default","delete","do","dynamic","each","else","extends","final","finally","for","function","get","if","implements","import","in","include","instanceof","interface","internal","is","namespace","native","new","override","package","private","protected","public","return","set","static","super","switch","this","throw","try","typeof","use","var","void","while","with"],literal:["true","false","null","undefined"]},contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{match:[/\bpackage/,/\s+/,r],className:{1:"keyword",3:"title.class"}},{match:[/\b(?:class|interface|extends|implements)/,/\s+/,n],className:{1:"keyword",3:"title.class"}},{className:"meta",beginKeywords:"import include",end:/;/,keywords:{keyword:"import include"}},{beginKeywords:"function",end:/[{;]/,excludeEnd:!0,illegal:/\S/,contains:[e.inherit(e.TITLE_MODE,{className:"title.function"}),{className:"params",begin:/\(/,end:/\)/,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,l]},{begin:t.concat(/:\s*/,a)}]},e.METHOD_GUARD],illegal:/#/}}var d$=u$;function _$(e){const t="\\d(_|\\d)*",n="[eE][-+]?"+t,r=t+"(\\."+t+")?("+n+")?",a="\\w+",l=t+"#"+a+"(\\."+a+")?#("+n+")?",u="\\b("+l+"|"+r+")",c="[A-Za-z](_?[A-Za-z0-9.])*",d=`[]\\{\\}%#'"`,g=e.COMMENT("--","$"),f={begin:"\\s+:\\s+",end:"\\s*(:=|;|\\)|=>|$)",illegal:d,contains:[{beginKeywords:"loop for declare others",endsParent:!0},{className:"keyword",beginKeywords:"not null constant access function procedure in out aliased exception"},{className:"type",begin:c,endsParent:!0,relevance:0}]};return{name:"Ada",case_insensitive:!0,keywords:{keyword:["abort","else","new","return","abs","elsif","not","reverse","abstract","end","accept","entry","select","access","exception","of","separate","aliased","exit","or","some","all","others","subtype","and","for","out","synchronized","array","function","overriding","at","tagged","generic","package","task","begin","goto","pragma","terminate","body","private","then","if","procedure","type","case","in","protected","constant","interface","is","raise","use","declare","range","delay","limited","record","when","delta","loop","rem","while","digits","renames","with","do","mod","requeue","xor"],literal:["True","False"]},contains:[g,{className:"string",begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{className:"string",begin:/'.'/},{className:"number",begin:u,relevance:0},{className:"symbol",begin:"'"+c},{className:"title",begin:"(\\bwith\\s+)?(\\bprivate\\s+)?\\bpackage\\s+(\\bbody\\s+)?",end:"(is|$)",keywords:"package body",excludeBegin:!0,excludeEnd:!0,illegal:d},{begin:"(\\b(with|overriding)\\s+)?\\b(function|procedure)\\s+",end:"(\\bis|\\bwith|\\brenames|\\)\\s*;)",keywords:"overriding function procedure with is renames return",returnBegin:!0,contains:[g,{className:"title",begin:"(\\bwith\\s+)?\\b(function|procedure)\\s+",end:"(\\(|\\s+|$)",excludeBegin:!0,excludeEnd:!0,illegal:d},f,{className:"type",begin:"\\breturn\\s+",end:"(\\s+|;|$)",keywords:"return",excludeBegin:!0,excludeEnd:!0,endsParent:!0,illegal:d}]},{className:"type",begin:"\\b(sub)?type\\s+",end:"\\s+",keywords:"type",excludeBegin:!0,illegal:d},f]}}var p$=_$;function m$(e){const t={className:"built_in",begin:"\\b(void|bool|int8|int16|int32|int64|int|uint8|uint16|uint32|uint64|uint|string|ref|array|double|float|auto|dictionary)"},n={className:"symbol",begin:"[a-zA-Z0-9_]+@"},r={className:"keyword",begin:"<",end:">",contains:[t,n]};return t.contains=[r],n.contains=[r],{name:"AngelScript",aliases:["asc"],keywords:["for","in|0","break","continue","while","do|0","return","if","else","case","switch","namespace","is","cast","or","and","xor","not","get|0","in","inout|10","out","override","set|0","private","public","const","default|0","final","shared","external","mixin|10","enum","typedef","funcdef","this","super","import","from","interface","abstract|0","try","catch","protected","explicit","property"],illegal:"(^using\\s+[A-Za-z0-9_\\.]+;$|\\bfunction\\s*[^\\(])",contains:[{className:"string",begin:"'",end:"'",illegal:"\\n",contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:"string",begin:'"""',end:'"""'},{className:"string",begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE],relevance:0},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",begin:"^\\s*\\[",end:"\\]"},{beginKeywords:"interface namespace",end:/\{/,illegal:"[;.\\-]",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+"}]},{beginKeywords:"class",end:/\{/,illegal:"[;.\\-]",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+",contains:[{begin:"[:,]\\s*",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+"}]}]}]},t,n,{className:"literal",begin:"\\b(null|true|false)"},{className:"number",relevance:0,begin:"(-?)(\\b0[xXbBoOdD][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?f?|\\.\\d+f?)([eE][-+]?\\d+f?)?)"}]}}var f$=m$;function g$(e){const t={className:"number",begin:/[$%]\d+/},n={className:"number",begin:/\b\d+/},r={className:"number",begin:/\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(:\d{1,5})?/},a={className:"number",begin:/:\d{1,5}/};return{name:"Apache config",aliases:["apacheconf"],case_insensitive:!0,contains:[e.HASH_COMMENT_MODE,{className:"section",begin:/<\/?/,end:/>/,contains:[r,a,e.inherit(e.QUOTE_STRING_MODE,{relevance:0})]},{className:"attribute",begin:/\w+/,relevance:0,keywords:{_:["order","deny","allow","setenv","rewriterule","rewriteengine","rewritecond","documentroot","sethandler","errordocument","loadmodule","options","header","listen","serverroot","servername"]},starts:{end:/$/,relevance:0,keywords:{literal:"on off all deny allow"},contains:[{className:"meta",begin:/\s\[/,end:/\]$/},{className:"variable",begin:/[\$%]\{/,end:/\}/,contains:["self",t]},r,n,e.QUOTE_STRING_MODE]}}],illegal:/\S/}}var h$=g$;function E$(e){const t=e.regex,n=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),r={className:"params",begin:/\(/,end:/\)/,contains:["self",e.C_NUMBER_MODE,n]},a=e.COMMENT(/--/,/$/),l=e.COMMENT(/\(\*/,/\*\)/,{contains:["self",a]}),u=[a,l,e.HASH_COMMENT_MODE],c=[/apart from/,/aside from/,/instead of/,/out of/,/greater than/,/isn't|(doesn't|does not) (equal|come before|come after|contain)/,/(greater|less) than( or equal)?/,/(starts?|ends|begins?) with/,/contained by/,/comes (before|after)/,/a (ref|reference)/,/POSIX (file|path)/,/(date|time) string/,/quoted form/],d=[/clipboard info/,/the clipboard/,/info for/,/list (disks|folder)/,/mount volume/,/path to/,/(close|open for) access/,/(get|set) eof/,/current date/,/do shell script/,/get volume settings/,/random number/,/set volume/,/system attribute/,/system info/,/time to GMT/,/(load|run|store) script/,/scripting components/,/ASCII (character|number)/,/localized string/,/choose (application|color|file|file name|folder|from list|remote application|URL)/,/display (alert|dialog)/];return{name:"AppleScript",aliases:["osascript"],keywords:{keyword:"about above after against and around as at back before beginning behind below beneath beside between but by considering contain contains continue copy div does eighth else end equal equals error every exit fifth first for fourth from front get given global if ignoring in into is it its last local me middle mod my ninth not of on onto or over prop property put ref reference repeat returning script second set seventh since sixth some tell tenth that the|0 then third through thru timeout times to transaction try until where while whose with without",literal:"AppleScript false linefeed return pi quote result space tab true",built_in:"alias application boolean class constant date file integer list number real record string text activate beep count delay launch log offset read round run say summarize write character characters contents day frontmost id item length month name|0 paragraph paragraphs rest reverse running time version weekday word words year"},contains:[n,e.C_NUMBER_MODE,{className:"built_in",begin:t.concat(/\b/,t.either(...d),/\b/)},{className:"built_in",begin:/^\s*return\b/},{className:"literal",begin:/\b(text item delimiters|current application|missing value)\b/},{className:"keyword",begin:t.concat(/\b/,t.either(...c),/\b/)},{beginKeywords:"on",illegal:/[${=;\n]/,contains:[e.UNDERSCORE_TITLE_MODE,r]},...u],illegal:/\/\/|->|=>|\[\[/}}var S$=E$;function b$(e){const t="[A-Za-z_][0-9A-Za-z_]*",n={keyword:["if","for","while","var","new","function","do","return","void","else","break"],literal:["BackSlash","DoubleQuote","false","ForwardSlash","Infinity","NaN","NewLine","null","PI","SingleQuote","Tab","TextFormatting","true","undefined"],built_in:["Abs","Acos","All","Angle","Any","Area","AreaGeodetic","Array","Asin","Atan","Atan2","Attachments","Average","Back","Bearing","Boolean","Buffer","BufferGeodetic","Ceil","Centroid","Clip","Concatenate","Console","Constrain","Contains","ConvertDirection","Cos","Count","Crosses","Cut","Date","DateAdd","DateDiff","Day","Decode","DefaultValue","Densify","DensifyGeodetic","Dictionary","Difference","Disjoint","Distance","DistanceGeodetic","Distinct","Domain","DomainCode","DomainName","EnvelopeIntersects","Equals","Erase","Exp","Expects","Extent","Feature","FeatureSet","FeatureSetByAssociation","FeatureSetById","FeatureSetByName","FeatureSetByPortalItem","FeatureSetByRelationshipName","Filter","Find","First","Floor","FromCharCode","FromCodePoint","FromJSON","GdbVersion","Generalize","Geometry","GetFeatureSet","GetUser","GroupBy","Guid","Hash","HasKey","Hour","IIf","Includes","IndexOf","Insert","Intersection","Intersects","IsEmpty","IsNan","ISOMonth","ISOWeek","ISOWeekday","ISOYear","IsSelfIntersecting","IsSimple","Left|0","Length","Length3D","LengthGeodetic","Log","Lower","Map","Max","Mean","Mid","Millisecond","Min","Minute","Month","MultiPartToSinglePart","Multipoint","NextSequenceValue","None","Now","Number","Offset|0","OrderBy","Overlaps","Point","Polygon","Polyline","Pop","Portal","Pow","Proper","Push","Random","Reduce","Relate","Replace","Resize","Reverse","Right|0","RingIsClockwise","Rotate","Round","Schema","Second","SetGeometry","Simplify","Sin","Slice","Sort","Splice","Split","Sqrt","Stdev","SubtypeCode","SubtypeName","Subtypes","Sum","SymmetricDifference","Tan","Text","Timestamp","ToCharCode","ToCodePoint","Today","ToHex","ToLocal","Top|0","Touches","ToUTC","TrackAccelerationAt","TrackAccelerationWindow","TrackCurrentAcceleration","TrackCurrentDistance","TrackCurrentSpeed","TrackCurrentTime","TrackDistanceAt","TrackDistanceWindow","TrackDuration","TrackFieldWindow","TrackGeometryWindow","TrackIndex","TrackSpeedAt","TrackSpeedWindow","TrackStartTime","TrackWindow","Trim","TypeOf","Union","Upper","UrlEncode","Variance","Week","Weekday","When","Within","Year"]},r={className:"symbol",begin:"\\$[datastore|feature|layer|map|measure|sourcefeature|sourcelayer|targetfeature|targetlayer|value|view]+"},a={className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:e.C_NUMBER_RE}],relevance:0},l={className:"subst",begin:"\\$\\{",end:"\\}",keywords:n,contains:[]},u={className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,l]};l.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,u,a,e.REGEXP_MODE];const c=l.contains.concat([e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE]);return{name:"ArcGIS Arcade",case_insensitive:!0,keywords:n,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,u,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,a,{begin:/[{,]\s*/,relevance:0,contains:[{begin:t+"\\s*:",returnBegin:!0,relevance:0,contains:[{className:"attr",begin:t,relevance:0}]}]},{begin:"("+e.RE_STARTERS_RE+"|\\b(return)\\b)\\s*",keywords:"return",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:"function",begin:"(\\(.*?\\)|"+t+")\\s*=>",returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:t},{begin:/\(\s*\)/},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:n,contains:c}]}]}],relevance:0},{beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{className:"title.function",begin:t}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:c}],illegal:/\[|%/},{begin:/\$[(.]/}],illegal:/#(?!!)/}}var v$=b$;function T$(e){const t=e.regex,n=e.COMMENT("//","$",{contains:[{begin:/\\\n/}]}),r="decltype\\(auto\\)",a="[a-zA-Z_]\\w*::",l="<[^<>]+>",u="(?!struct)("+r+"|"+t.optional(a)+"[a-zA-Z_]\\w*"+t.optional(l)+")",c={className:"type",begin:"\\b[a-z\\d_]*_t\\b"},d="\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)",g={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'("+d+"|.)",end:"'",illegal:"."},e.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,end:/\)([^()\\ ]{0,16})"/})]},f={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},h={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},e.inherit(g,{className:"string"}),{className:"string",begin:/<.*?>/},n,e.C_BLOCK_COMMENT_MODE]},p={className:"title",begin:t.optional(a)+e.IDENT_RE,relevance:0},m=t.optional(a)+e.IDENT_RE+"\\s*\\(",y=["alignas","alignof","and","and_eq","asm","atomic_cancel","atomic_commit","atomic_noexcept","auto","bitand","bitor","break","case","catch","class","co_await","co_return","co_yield","compl","concept","const_cast|10","consteval","constexpr","constinit","continue","decltype","default","delete","do","dynamic_cast|10","else","enum","explicit","export","extern","false","final","for","friend","goto","if","import","inline","module","mutable","namespace","new","noexcept","not","not_eq","nullptr","operator","or","or_eq","override","private","protected","public","reflexpr","register","reinterpret_cast|10","requires","return","sizeof","static_assert","static_cast|10","struct","switch","synchronized","template","this","thread_local","throw","transaction_safe","transaction_safe_dynamic","true","try","typedef","typeid","typename","union","using","virtual","volatile","while","xor","xor_eq"],b=["bool","char","char16_t","char32_t","char8_t","double","float","int","long","short","void","wchar_t","unsigned","signed","const","static"],C=["any","auto_ptr","barrier","binary_semaphore","bitset","complex","condition_variable","condition_variable_any","counting_semaphore","deque","false_type","future","imaginary","initializer_list","istringstream","jthread","latch","lock_guard","multimap","multiset","mutex","optional","ostringstream","packaged_task","pair","promise","priority_queue","queue","recursive_mutex","recursive_timed_mutex","scoped_lock","set","shared_future","shared_lock","shared_mutex","shared_timed_mutex","shared_ptr","stack","string_view","stringstream","timed_mutex","thread","true_type","tuple","unique_lock","unique_ptr","unordered_map","unordered_multimap","unordered_multiset","unordered_set","variant","vector","weak_ptr","wstring","wstring_view"],E=["abort","abs","acos","apply","as_const","asin","atan","atan2","calloc","ceil","cerr","cin","clog","cos","cosh","cout","declval","endl","exchange","exit","exp","fabs","floor","fmod","forward","fprintf","fputs","free","frexp","fscanf","future","invoke","isalnum","isalpha","iscntrl","isdigit","isgraph","islower","isprint","ispunct","isspace","isupper","isxdigit","labs","launder","ldexp","log","log10","make_pair","make_shared","make_shared_for_overwrite","make_tuple","make_unique","malloc","memchr","memcmp","memcpy","memset","modf","move","pow","printf","putchar","puts","realloc","scanf","sin","sinh","snprintf","sprintf","sqrt","sscanf","std","stderr","stdin","stdout","strcat","strchr","strcmp","strcpy","strcspn","strlen","strncat","strncmp","strncpy","strpbrk","strrchr","strspn","strstr","swap","tan","tanh","terminate","to_underlying","tolower","toupper","vfprintf","visit","vprintf","vsprintf"],S={type:b,keyword:y,literal:["NULL","false","nullopt","nullptr","true"],built_in:["_Pragma"],_type_hints:C},A={className:"function.dispatch",relevance:0,keywords:{_hint:E},begin:t.concat(/\b/,/(?!decltype)/,/(?!if)/,/(?!for)/,/(?!switch)/,/(?!while)/,e.IDENT_RE,t.lookahead(/(<[^<>]+>|)\s*\(/))},P=[A,h,c,n,e.C_BLOCK_COMMENT_MODE,f,g],F={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:S,contains:P.concat([{begin:/\(/,end:/\)/,keywords:S,contains:P.concat(["self"]),relevance:0}]),relevance:0},G={className:"function",begin:"("+u+"[\\*&\\s]+)+"+m,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:S,illegal:/[^\w\s\*&:<>.]/,contains:[{begin:r,keywords:S,relevance:0},{begin:m,returnBegin:!0,contains:[p],relevance:0},{begin:/::/,relevance:0},{begin:/:/,endsWithParent:!0,contains:[g,f]},{relevance:0,match:/,/},{className:"params",begin:/\(/,end:/\)/,keywords:S,relevance:0,contains:[n,e.C_BLOCK_COMMENT_MODE,g,f,c,{begin:/\(/,end:/\)/,keywords:S,relevance:0,contains:["self",n,e.C_BLOCK_COMMENT_MODE,g,f,c]}]},c,n,e.C_BLOCK_COMMENT_MODE,h]};return{name:"C++",aliases:["cc","c++","h++","hpp","hh","hxx","cxx"],keywords:S,illegal:"",keywords:S,contains:["self",c]},{begin:e.IDENT_RE+"::",keywords:S},{match:[/\b(?:enum(?:\s+(?:class|struct))?|class|struct|union)/,/\s+/,/\w+/],className:{1:"keyword",3:"title.class"}}])}}function y$(e){const t={type:["boolean","byte","word","String"],built_in:["KeyboardController","MouseController","SoftwareSerial","EthernetServer","EthernetClient","LiquidCrystal","RobotControl","GSMVoiceCall","EthernetUDP","EsploraTFT","HttpClient","RobotMotor","WiFiClient","GSMScanner","FileSystem","Scheduler","GSMServer","YunClient","YunServer","IPAddress","GSMClient","GSMModem","Keyboard","Ethernet","Console","GSMBand","Esplora","Stepper","Process","WiFiUDP","GSM_SMS","Mailbox","USBHost","Firmata","PImage","Client","Server","GSMPIN","FileIO","Bridge","Serial","EEPROM","Stream","Mouse","Audio","Servo","File","Task","GPRS","WiFi","Wire","TFT","GSM","SPI","SD"],_hints:["setup","loop","runShellCommandAsynchronously","analogWriteResolution","retrieveCallingNumber","printFirmwareVersion","analogReadResolution","sendDigitalPortPair","noListenOnLocalhost","readJoystickButton","setFirmwareVersion","readJoystickSwitch","scrollDisplayRight","getVoiceCallStatus","scrollDisplayLeft","writeMicroseconds","delayMicroseconds","beginTransmission","getSignalStrength","runAsynchronously","getAsynchronously","listenOnLocalhost","getCurrentCarrier","readAccelerometer","messageAvailable","sendDigitalPorts","lineFollowConfig","countryNameWrite","runShellCommand","readStringUntil","rewindDirectory","readTemperature","setClockDivider","readLightSensor","endTransmission","analogReference","detachInterrupt","countryNameRead","attachInterrupt","encryptionType","readBytesUntil","robotNameWrite","readMicrophone","robotNameRead","cityNameWrite","userNameWrite","readJoystickY","readJoystickX","mouseReleased","openNextFile","scanNetworks","noInterrupts","digitalWrite","beginSpeaker","mousePressed","isActionDone","mouseDragged","displayLogos","noAutoscroll","addParameter","remoteNumber","getModifiers","keyboardRead","userNameRead","waitContinue","processInput","parseCommand","printVersion","readNetworks","writeMessage","blinkVersion","cityNameRead","readMessage","setDataMode","parsePacket","isListening","setBitOrder","beginPacket","isDirectory","motorsWrite","drawCompass","digitalRead","clearScreen","serialEvent","rightToLeft","setTextSize","leftToRight","requestFrom","keyReleased","compassRead","analogWrite","interrupts","WiFiServer","disconnect","playMelody","parseFloat","autoscroll","getPINUsed","setPINUsed","setTimeout","sendAnalog","readSlider","analogRead","beginWrite","createChar","motorsStop","keyPressed","tempoWrite","readButton","subnetMask","debugPrint","macAddress","writeGreen","randomSeed","attachGPRS","readString","sendString","remotePort","releaseAll","mouseMoved","background","getXChange","getYChange","answerCall","getResult","voiceCall","endPacket","constrain","getSocket","writeJSON","getButton","available","connected","findUntil","readBytes","exitValue","readGreen","writeBlue","startLoop","IPAddress","isPressed","sendSysex","pauseMode","gatewayIP","setCursor","getOemKey","tuneWrite","noDisplay","loadImage","switchPIN","onRequest","onReceive","changePIN","playFile","noBuffer","parseInt","overflow","checkPIN","knobRead","beginTFT","bitClear","updateIR","bitWrite","position","writeRGB","highByte","writeRed","setSpeed","readBlue","noStroke","remoteIP","transfer","shutdown","hangCall","beginSMS","endWrite","attached","maintain","noCursor","checkReg","checkPUK","shiftOut","isValid","shiftIn","pulseIn","connect","println","localIP","pinMode","getIMEI","display","noBlink","process","getBand","running","beginSD","drawBMP","lowByte","setBand","release","bitRead","prepare","pointTo","readRed","setMode","noFill","remove","listen","stroke","detach","attach","noTone","exists","buffer","height","bitSet","circle","config","cursor","random","IRread","setDNS","endSMS","getKey","micros","millis","begin","print","write","ready","flush","width","isPIN","blink","clear","press","mkdir","rmdir","close","point","yield","image","BSSID","click","delay","read","text","move","peek","beep","rect","line","open","seek","fill","size","turn","stop","home","find","step","tone","sqrt","RSSI","SSID","end","bit","tan","cos","sin","pow","map","abs","max","min","get","run","put"],literal:["DIGITAL_MESSAGE","FIRMATA_STRING","ANALOG_MESSAGE","REPORT_DIGITAL","REPORT_ANALOG","INPUT_PULLUP","SET_PIN_MODE","INTERNAL2V56","SYSTEM_RESET","LED_BUILTIN","INTERNAL1V1","SYSEX_START","INTERNAL","EXTERNAL","DEFAULT","OUTPUT","INPUT","HIGH","LOW"]},n=T$(e),r=n.keywords;return r.type=[...r.type,...t.type],r.literal=[...r.literal,...t.literal],r.built_in=[...r.built_in,...t.built_in],r._hints=t._hints,n.name="Arduino",n.aliases=["ino"],n.supersetOf="cpp",n}var C$=y$;function R$(e){const t={variants:[e.COMMENT("^[ \\t]*(?=#)","$",{relevance:0,excludeBegin:!0}),e.COMMENT("[;@]","$",{relevance:0}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]};return{name:"ARM Assembly",case_insensitive:!0,aliases:["arm"],keywords:{$pattern:"\\.?"+e.IDENT_RE,meta:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND ",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 pc lr sp ip sl sb fp a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf s0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 {PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @"},contains:[{className:"keyword",begin:"\\b(adc|(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|wfe|wfi|yield)(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?[sptrx]?(?=\\s)"},t,e.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"[#$=]?0x[0-9a-f]+"},{begin:"[#$=]?0b[01]+"},{begin:"[#$=]\\d+"},{begin:"\\b\\d+"}],relevance:0},{className:"symbol",variants:[{begin:"^[ \\t]*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"^[a-z_\\.\\$][a-z0-9_\\.\\$]+"},{begin:"[=#]\\w+"}],relevance:0}]}}var O$=R$;function N$(e){const t=e.regex,n=t.concat(/[\p{L}_]/u,t.optional(/[\p{L}0-9_.-]*:/u),/[\p{L}0-9_.-]*/u),r=/[\p{L}0-9._:-]+/u,a={className:"symbol",begin:/&[a-z]+;|&#[0-9]+;|&#x[a-f0-9]+;/},l={begin:/\s/,contains:[{className:"keyword",begin:/#?[a-z_][a-z1-9_-]+/,illegal:/\n/}]},u=e.inherit(l,{begin:/\(/,end:/\)/}),c=e.inherit(e.APOS_STRING_MODE,{className:"string"}),d=e.inherit(e.QUOTE_STRING_MODE,{className:"string"}),g={endsWithParent:!0,illegal:/`]+/}]}]}]};return{name:"HTML, XML",aliases:["html","xhtml","rss","atom","xjb","xsd","xsl","plist","wsf","svg"],case_insensitive:!0,unicodeRegex:!0,contains:[{className:"meta",begin://,relevance:10,contains:[l,d,c,u,{begin:/\[/,end:/\]/,contains:[{className:"meta",begin://,contains:[l,u,d,c]}]}]},e.COMMENT(//,{relevance:10}),{begin://,relevance:10},a,{className:"meta",end:/\?>/,variants:[{begin:/<\?xml/,relevance:10,contains:[d]},{begin:/<\?[a-z][a-z0-9]+/}]},{className:"tag",begin:/)/,end:/>/,keywords:{name:"style"},contains:[g],starts:{end:/<\/style>/,returnEnd:!0,subLanguage:["css","xml"]}},{className:"tag",begin:/)/,end:/>/,keywords:{name:"script"},contains:[g],starts:{end:/<\/script>/,returnEnd:!0,subLanguage:["javascript","handlebars","xml"]}},{className:"tag",begin:/<>|<\/>/},{className:"tag",begin:t.concat(//,/>/,/\s/)))),end:/\/?>/,contains:[{className:"name",begin:n,relevance:0,starts:g}]},{className:"tag",begin:t.concat(/<\//,t.lookahead(t.concat(n,/>/))),contains:[{className:"name",begin:n,relevance:0},{begin:/>/,relevance:0,endsParent:!0}]}]}}var A$=N$;function I$(e){const t=e.regex,n={begin:"^'{3,}[ \\t]*$",relevance:10},r=[{begin:/\\[*_`]/},{begin:/\\\\\*{2}[^\n]*?\*{2}/},{begin:/\\\\_{2}[^\n]*_{2}/},{begin:/\\\\`{2}[^\n]*`{2}/},{begin:/[:;}][*_`](?![*_`])/}],a=[{className:"strong",begin:/\*{2}([^\n]+?)\*{2}/},{className:"strong",begin:t.concat(/\*\*/,/((\*(?!\*)|\\[^\n]|[^*\n\\])+\n)+/,/(\*(?!\*)|\\[^\n]|[^*\n\\])*/,/\*\*/),relevance:0},{className:"strong",begin:/\B\*(\S|\S[^\n]*?\S)\*(?!\w)/},{className:"strong",begin:/\*[^\s]([^\n]+\n)+([^\n]+)\*/}],l=[{className:"emphasis",begin:/_{2}([^\n]+?)_{2}/},{className:"emphasis",begin:t.concat(/__/,/((_(?!_)|\\[^\n]|[^_\n\\])+\n)+/,/(_(?!_)|\\[^\n]|[^_\n\\])*/,/__/),relevance:0},{className:"emphasis",begin:/\b_(\S|\S[^\n]*?\S)_(?!\w)/},{className:"emphasis",begin:/_[^\s]([^\n]+\n)+([^\n]+)_/},{className:"emphasis",begin:"\\B'(?!['\\s])",end:"(\\n{2}|')",contains:[{begin:"\\\\'\\w",relevance:0}],relevance:0}],u={className:"symbol",begin:"^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\s+",relevance:10},c={className:"bullet",begin:"^(\\*+|-+|\\.+|[^\\n]+?::)\\s+"};return{name:"AsciiDoc",aliases:["adoc"],contains:[e.COMMENT("^/{4,}\\n","\\n/{4,}$",{relevance:10}),e.COMMENT("^//","$",{relevance:0}),{className:"title",begin:"^\\.\\w.*$"},{begin:"^[=\\*]{4,}\\n",end:"\\n^[=\\*]{4,}$",relevance:10},{className:"section",relevance:10,variants:[{begin:"^(={1,6})[ ].+?([ ]\\1)?$"},{begin:"^[^\\[\\]\\n]+?\\n[=\\-~\\^\\+]{2,}$"}]},{className:"meta",begin:"^:.+?:",end:"\\s",excludeEnd:!0,relevance:10},{className:"meta",begin:"^\\[.+?\\]$",relevance:0},{className:"quote",begin:"^_{4,}\\n",end:"\\n_{4,}$",relevance:10},{className:"code",begin:"^[\\-\\.]{4,}\\n",end:"\\n[\\-\\.]{4,}$",relevance:10},{begin:"^\\+{4,}\\n",end:"\\n\\+{4,}$",contains:[{begin:"<",end:">",subLanguage:"xml",relevance:0}],relevance:10},c,u,...r,...a,...l,{className:"string",variants:[{begin:"``.+?''"},{begin:"`.+?'"}]},{className:"code",begin:/`{2}/,end:/(\n{2}|`{2})/},{className:"code",begin:"(`.+?`|\\+.+?\\+)",relevance:0},{className:"code",begin:"^[ \\t]",end:"$",relevance:0},n,{begin:"(link:)?(http|https|ftp|file|irc|image:?):\\S+?\\[[^[]*?\\]",returnBegin:!0,contains:[{begin:"(link|image:?):",relevance:0},{className:"link",begin:"\\w",end:"[^\\[]+",relevance:0},{className:"string",begin:"\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0,relevance:0}],relevance:10}]}}var D$=I$;function x$(e){const t=e.regex,n=["false","synchronized","int","abstract","float","private","char","boolean","static","null","if","const","for","true","while","long","throw","strictfp","finally","protected","import","native","final","return","void","enum","else","extends","implements","break","transient","new","catch","instanceof","byte","super","volatile","case","assert","short","package","default","double","public","try","this","switch","continue","throws","privileged","aspectOf","adviceexecution","proceed","cflowbelow","cflow","initialization","preinitialization","staticinitialization","withincode","target","within","execution","getWithinTypeName","handler","thisJoinPoint","thisJoinPointStaticPart","thisEnclosingJoinPointStaticPart","declare","parents","warning","error","soft","precedence","thisAspectInstance"],r=["get","set","args","call"];return{name:"AspectJ",keywords:n,illegal:/<\/|#/,contains:[e.COMMENT(/\/\*\*/,/\*\//,{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:/@[A-Za-z]+/}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"class",beginKeywords:"aspect",end:/[{;=]/,excludeEnd:!0,illegal:/[:;"\[\]]/,contains:[{beginKeywords:"extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton"},e.UNDERSCORE_TITLE_MODE,{begin:/\([^\)]*/,end:/[)]+/,keywords:n.concat(r),excludeEnd:!1}]},{className:"class",beginKeywords:"class interface",end:/[{;=]/,excludeEnd:!0,relevance:0,keywords:"class interface",illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"pointcut after before around throwing returning",end:/[)]/,excludeEnd:!1,illegal:/["\[\]]/,contains:[{begin:t.concat(e.UNDERSCORE_IDENT_RE,/\s*\(/),returnBegin:!0,contains:[e.UNDERSCORE_TITLE_MODE]}]},{begin:/[:]/,returnBegin:!0,end:/[{;]/,relevance:0,excludeEnd:!1,keywords:n,illegal:/["\[\]]/,contains:[{begin:t.concat(e.UNDERSCORE_IDENT_RE,/\s*\(/),keywords:n.concat(r),relevance:0},e.QUOTE_STRING_MODE]},{beginKeywords:"new throw",relevance:0},{className:"function",begin:/\w+ +\w+(\.\w+)?\s*\([^\)]*\)\s*((throws)[\w\s,]+)?[\{;]/,returnBegin:!0,end:/[{;=]/,keywords:n,excludeEnd:!0,contains:[{begin:t.concat(e.UNDERSCORE_IDENT_RE,/\s*\(/),returnBegin:!0,relevance:0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"params",begin:/\(/,end:/\)/,relevance:0,keywords:n,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_NUMBER_MODE,{className:"meta",begin:/@[A-Za-z]+/}]}}var w$=x$;function M$(e){const t={begin:"`[\\s\\S]"};return{name:"AutoHotkey",case_insensitive:!0,aliases:["ahk"],keywords:{keyword:"Break Continue Critical Exit ExitApp Gosub Goto New OnExit Pause return SetBatchLines SetTimer Suspend Thread Throw Until ahk_id ahk_class ahk_pid ahk_exe ahk_group",literal:"true false NOT AND OR",built_in:"ComSpec Clipboard ClipboardAll ErrorLevel"},contains:[t,e.inherit(e.QUOTE_STRING_MODE,{contains:[t]}),e.COMMENT(";","$",{relevance:0}),e.C_BLOCK_COMMENT_MODE,{className:"number",begin:e.NUMBER_RE,relevance:0},{className:"variable",begin:"%[a-zA-Z0-9#_$@]+%"},{className:"built_in",begin:"^\\s*\\w+\\s*(,|%)"},{className:"title",variants:[{begin:'^[^\\n";]+::(?!=)'},{begin:'^[^\\n";]+:(?!=)',relevance:0}]},{className:"meta",begin:"^\\s*#\\w+",end:"$",relevance:0},{className:"built_in",begin:"A_[a-zA-Z0-9]+"},{begin:",\\s*,"}]}}var L$=M$;function k$(e){const t="ByRef Case Const ContinueCase ContinueLoop Dim Do Else ElseIf EndFunc EndIf EndSelect EndSwitch EndWith Enum Exit ExitLoop For Func Global If In Local Next ReDim Return Select Static Step Switch Then To Until Volatile WEnd While With",n=["EndRegion","forcedef","forceref","ignorefunc","include","include-once","NoTrayIcon","OnAutoItStartRegister","pragma","Region","RequireAdmin","Tidy_Off","Tidy_On","Tidy_Parameters"],r="True False And Null Not Or Default",a="Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait WinWaitActive WinWaitClose WinWaitNotActive",l={variants:[e.COMMENT(";","$",{relevance:0}),e.COMMENT("#cs","#ce"),e.COMMENT("#comments-start","#comments-end")]},u={begin:"\\$[A-z0-9_]+"},c={className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},d={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]},g={className:"meta",begin:"#",end:"$",keywords:{keyword:n},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",keywords:{keyword:"include"},end:"$",contains:[c,{className:"string",variants:[{begin:"<",end:">"},{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]}]},c,l]},f={className:"symbol",begin:"@[A-z0-9_]+"},h={beginKeywords:"Func",end:"$",illegal:"\\$|\\[|%",contains:[e.inherit(e.UNDERSCORE_TITLE_MODE,{className:"title.function"}),{className:"params",begin:"\\(",end:"\\)",contains:[u,c,d]}]};return{name:"AutoIt",case_insensitive:!0,illegal:/\/\*/,keywords:{keyword:t,built_in:a,literal:r},contains:[l,u,c,d,g,f,h]}}var P$=k$;function B$(e){return{name:"AVR Assembly",case_insensitive:!0,keywords:{$pattern:"\\.?"+e.IDENT_RE,keyword:"adc add adiw and andi asr bclr bld brbc brbs brcc brcs break breq brge brhc brhs brid brie brlo brlt brmi brne brpl brsh brtc brts brvc brvs bset bst call cbi cbr clc clh cli cln clr cls clt clv clz com cp cpc cpi cpse dec eicall eijmp elpm eor fmul fmuls fmulsu icall ijmp in inc jmp ld ldd ldi lds lpm lsl lsr mov movw mul muls mulsu neg nop or ori out pop push rcall ret reti rjmp rol ror sbc sbr sbrc sbrs sec seh sbi sbci sbic sbis sbiw sei sen ser ses set sev sez sleep spm st std sts sub subi swap tst wdr",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 r23 r24 r25 r26 r27 r28 r29 r30 r31 x|0 xh xl y|0 yh yl z|0 zh zl ucsr1c udr1 ucsr1a ucsr1b ubrr1l ubrr1h ucsr0c ubrr0h tccr3c tccr3a tccr3b tcnt3h tcnt3l ocr3ah ocr3al ocr3bh ocr3bl ocr3ch ocr3cl icr3h icr3l etimsk etifr tccr1c ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ddrg ping portf ddrf sreg sph spl xdiv rampz eicrb eimsk gimsk gicr eifr gifr timsk tifr mcucr mcucsr tccr0 tcnt0 ocr0 assr tccr1a tccr1b tcnt1h tcnt1l ocr1ah ocr1al ocr1bh ocr1bl icr1h icr1l tccr2 tcnt2 ocr2 ocdr wdtcr sfior eearh eearl eedr eecr porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ucsr0a ucsr0b ubrr0l acsr admux adcsr adch adcl porte ddre pine pinf",meta:".byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list .listmac .macro .nolist .org .set"},contains:[e.C_BLOCK_COMMENT_MODE,e.COMMENT(";","$",{relevance:0}),e.C_NUMBER_MODE,e.BINARY_NUMBER_MODE,{className:"number",begin:"\\b(\\$[a-zA-Z0-9]+|0o[0-7]+)"},e.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",illegal:"[^\\\\][^']"},{className:"symbol",begin:"^[A-Za-z0-9_.$]+:"},{className:"meta",begin:"#",end:"$"},{className:"subst",begin:"@[0-9]+"}]}}var F$=B$;function U$(e){const t={className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)\}/}]},n="BEGIN END if else while do for in break continue delete next nextfile function func exit|10",r={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/(u|b)?r?'''/,end:/'''/,relevance:10},{begin:/(u|b)?r?"""/,end:/"""/,relevance:10},{begin:/(u|r|ur)'/,end:/'/,relevance:10},{begin:/(u|r|ur)"/,end:/"/,relevance:10},{begin:/(b|br)'/,end:/'/},{begin:/(b|br)"/,end:/"/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]};return{name:"Awk",keywords:{keyword:n},contains:[t,r,e.REGEXP_MODE,e.HASH_COMMENT_MODE,e.NUMBER_MODE]}}var G$=U$;function q$(e){const t=e.UNDERSCORE_IDENT_RE,l={keyword:["abstract","as","asc","avg","break","breakpoint","by","byref","case","catch","changecompany","class","client","client","common","const","continue","count","crosscompany","delegate","delete_from","desc","display","div","do","edit","else","eventhandler","exists","extends","final","finally","firstfast","firstonly","firstonly1","firstonly10","firstonly100","firstonly1000","flush","for","forceliterals","forcenestedloop","forceplaceholders","forceselectorder","forupdate","from","generateonly","group","hint","if","implements","in","index","insert_recordset","interface","internal","is","join","like","maxof","minof","mod","namespace","new","next","nofetch","notexists","optimisticlock","order","outer","pessimisticlock","print","private","protected","public","readonly","repeatableread","retry","return","reverse","select","server","setting","static","sum","super","switch","this","throw","try","ttsabort","ttsbegin","ttscommit","unchecked","update_recordset","using","validtimestate","void","where","while"],built_in:["anytype","boolean","byte","char","container","date","double","enum","guid","int","int64","long","real","short","str","utcdatetime","var"],literal:["default","false","null","true"]},u={variants:[{match:[/(class|interface)\s+/,t,/\s+(extends|implements)\s+/,t]},{match:[/class\s+/,t]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:l};return{name:"X++",aliases:["x++"],keywords:l,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"},u]}}var Y$=q$;function z$(e){const t=e.regex,n={},r={begin:/\$\{/,end:/\}/,contains:["self",{begin:/:-/,contains:[n]}]};Object.assign(n,{className:"variable",variants:[{begin:t.concat(/\$[\w\d#@][\w\d_]*/,"(?![\\w\\d])(?![$])")},r]});const a={className:"subst",begin:/\$\(/,end:/\)/,contains:[e.BACKSLASH_ESCAPE]},l={begin:/<<-?\s*(?=\w+)/,starts:{contains:[e.END_SAME_AS_BEGIN({begin:/(\w+)/,end:/(\w+)/,className:"string"})]}},u={className:"string",begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,n,a]};a.contains.push(u);const c={className:"",begin:/\\"/},d={className:"string",begin:/'/,end:/'/},g={begin:/\$?\(\(/,end:/\)\)/,contains:[{begin:/\d+#[0-9a-f]+/,className:"number"},e.NUMBER_MODE,n]},f=["fish","bash","zsh","sh","csh","ksh","tcsh","dash","scsh"],h=e.SHEBANG({binary:`(${f.join("|")})`,relevance:10}),p={className:"function",begin:/\w[\w\d_]*\s*\(\s*\)\s*\{/,returnBegin:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/\w[\w\d_]*/})],relevance:0},m=["if","then","else","elif","fi","for","while","until","in","do","done","case","esac","function","select"],y=["true","false"],b={match:/(\/[a-z._-]+)+/},C=["break","cd","continue","eval","exec","exit","export","getopts","hash","pwd","readonly","return","shift","test","times","trap","umask","unset"],E=["alias","bind","builtin","caller","command","declare","echo","enable","help","let","local","logout","mapfile","printf","read","readarray","source","type","typeset","ulimit","unalias"],v=["autoload","bg","bindkey","bye","cap","chdir","clone","comparguments","compcall","compctl","compdescribe","compfiles","compgroups","compquote","comptags","comptry","compvalues","dirs","disable","disown","echotc","echoti","emulate","fc","fg","float","functions","getcap","getln","history","integer","jobs","kill","limit","log","noglob","popd","print","pushd","pushln","rehash","sched","setcap","setopt","stat","suspend","ttyctl","unfunction","unhash","unlimit","unsetopt","vared","wait","whence","where","which","zcompile","zformat","zftp","zle","zmodload","zparseopts","zprof","zpty","zregexparse","zsocket","zstyle","ztcp"],O=["chcon","chgrp","chown","chmod","cp","dd","df","dir","dircolors","ln","ls","mkdir","mkfifo","mknod","mktemp","mv","realpath","rm","rmdir","shred","sync","touch","truncate","vdir","b2sum","base32","base64","cat","cksum","comm","csplit","cut","expand","fmt","fold","head","join","md5sum","nl","numfmt","od","paste","ptx","pr","sha1sum","sha224sum","sha256sum","sha384sum","sha512sum","shuf","sort","split","sum","tac","tail","tr","tsort","unexpand","uniq","wc","arch","basename","chroot","date","dirname","du","echo","env","expr","factor","groups","hostid","id","link","logname","nice","nohup","nproc","pathchk","pinky","printenv","printf","pwd","readlink","runcon","seq","sleep","stat","stdbuf","stty","tee","test","timeout","tty","uname","unlink","uptime","users","who","whoami","yes"];return{name:"Bash",aliases:["sh"],keywords:{$pattern:/\b[a-z][a-z0-9._-]+\b/,keyword:m,literal:y,built_in:[...C,...E,"set","shopt",...v,...O]},contains:[h,e.SHEBANG(),p,g,e.HASH_COMMENT_MODE,l,b,u,c,d,n]}}var $$=z$;function H$(e){return{name:"BASIC",case_insensitive:!0,illegal:"^.",keywords:{$pattern:"[a-zA-Z][a-zA-Z0-9_$%!#]*",keyword:["ABS","ASC","AND","ATN","AUTO|0","BEEP","BLOAD|10","BSAVE|10","CALL","CALLS","CDBL","CHAIN","CHDIR","CHR$|10","CINT","CIRCLE","CLEAR","CLOSE","CLS","COLOR","COM","COMMON","CONT","COS","CSNG","CSRLIN","CVD","CVI","CVS","DATA","DATE$","DEFDBL","DEFINT","DEFSNG","DEFSTR","DEF|0","SEG","USR","DELETE","DIM","DRAW","EDIT","END","ENVIRON","ENVIRON$","EOF","EQV","ERASE","ERDEV","ERDEV$","ERL","ERR","ERROR","EXP","FIELD","FILES","FIX","FOR|0","FRE","GET","GOSUB|10","GOTO","HEX$","IF","THEN","ELSE|0","INKEY$","INP","INPUT","INPUT#","INPUT$","INSTR","IMP","INT","IOCTL","IOCTL$","KEY","ON","OFF","LIST","KILL","LEFT$","LEN","LET","LINE","LLIST","LOAD","LOC","LOCATE","LOF","LOG","LPRINT","USING","LSET","MERGE","MID$","MKDIR","MKD$","MKI$","MKS$","MOD","NAME","NEW","NEXT","NOISE","NOT","OCT$","ON","OR","PEN","PLAY","STRIG","OPEN","OPTION","BASE","OUT","PAINT","PALETTE","PCOPY","PEEK","PMAP","POINT","POKE","POS","PRINT","PRINT]","PSET","PRESET","PUT","RANDOMIZE","READ","REM","RENUM","RESET|0","RESTORE","RESUME","RETURN|0","RIGHT$","RMDIR","RND","RSET","RUN","SAVE","SCREEN","SGN","SHELL","SIN","SOUND","SPACE$","SPC","SQR","STEP","STICK","STOP","STR$","STRING$","SWAP","SYSTEM","TAB","TAN","TIME$","TIMER","TROFF","TRON","TO","USR","VAL","VARPTR","VARPTR$","VIEW","WAIT","WHILE","WEND","WIDTH","WINDOW","WRITE","XOR"]},contains:[e.QUOTE_STRING_MODE,e.COMMENT("REM","$",{relevance:10}),e.COMMENT("'","$",{relevance:0}),{className:"symbol",begin:"^[0-9]+ ",relevance:10},{className:"number",begin:"\\b\\d+(\\.\\d+)?([edED]\\d+)?[#!]?",relevance:0},{className:"number",begin:"(&[hH][0-9a-fA-F]{1,4})"},{className:"number",begin:"(&[oO][0-7]{1,6})"}]}}var V$=H$;function W$(e){return{name:"Backus\u2013Naur Form",contains:[{className:"attribute",begin://},{begin:/::=/,end:/$/,contains:[{begin://},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]}]}}var K$=W$;function Q$(e){const t={className:"literal",begin:/[+-]+/,relevance:0};return{name:"Brainfuck",aliases:["bf"],contains:[e.COMMENT(/[^\[\]\.,\+\-<> \r\n]/,/[\[\]\.,\+\-<> \r\n]/,{contains:[{match:/[ ]+[^\[\]\.,\+\-<> \r\n]/,relevance:0}],returnEnd:!0,relevance:0}),{className:"title",begin:"[\\[\\]]",relevance:0},{className:"string",begin:"[\\.,]",relevance:0},{begin:/(?=\+\+|--)/,contains:[t]},t]}}var j$=Q$;function X$(e){const t=e.regex,n=e.COMMENT("//","$",{contains:[{begin:/\\\n/}]}),r="decltype\\(auto\\)",a="[a-zA-Z_]\\w*::",l="<[^<>]+>",u="("+r+"|"+t.optional(a)+"[a-zA-Z_]\\w*"+t.optional(l)+")",c={className:"type",variants:[{begin:"\\b[a-z\\d_]*_t\\b"},{match:/\batomic_[a-z]{3,6}\b/}]},d="\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)",g={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'("+d+"|.)",end:"'",illegal:"."},e.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,end:/\)([^()\\ ]{0,16})"/})]},f={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},h={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},e.inherit(g,{className:"string"}),{className:"string",begin:/<.*?>/},n,e.C_BLOCK_COMMENT_MODE]},p={className:"title",begin:t.optional(a)+e.IDENT_RE,relevance:0},m=t.optional(a)+e.IDENT_RE+"\\s*\\(",C={keyword:["asm","auto","break","case","continue","default","do","else","enum","extern","for","fortran","goto","if","inline","register","restrict","return","sizeof","struct","switch","typedef","union","volatile","while","_Alignas","_Alignof","_Atomic","_Generic","_Noreturn","_Static_assert","_Thread_local","alignas","alignof","noreturn","static_assert","thread_local","_Pragma"],type:["float","double","signed","unsigned","int","short","long","char","void","_Bool","_Complex","_Imaginary","_Decimal32","_Decimal64","_Decimal128","const","static","complex","bool","imaginary"],literal:"true false NULL",built_in:"std string wstring cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream auto_ptr deque list queue stack vector map set pair bitset multiset multimap unordered_set unordered_map unordered_multiset unordered_multimap priority_queue make_pair array shared_ptr abort terminate abs acos asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp fscanf future isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan vfprintf vprintf vsprintf endl initializer_list unique_ptr"},E=[h,c,n,e.C_BLOCK_COMMENT_MODE,f,g],v={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:C,contains:E.concat([{begin:/\(/,end:/\)/,keywords:C,contains:E.concat(["self"]),relevance:0}]),relevance:0},O={begin:"("+u+"[\\*&\\s]+)+"+m,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:C,illegal:/[^\w\s\*&:<>.]/,contains:[{begin:r,keywords:C,relevance:0},{begin:m,returnBegin:!0,contains:[e.inherit(p,{className:"title.function"})],relevance:0},{relevance:0,match:/,/},{className:"params",begin:/\(/,end:/\)/,keywords:C,relevance:0,contains:[n,e.C_BLOCK_COMMENT_MODE,g,f,c,{begin:/\(/,end:/\)/,keywords:C,relevance:0,contains:["self",n,e.C_BLOCK_COMMENT_MODE,g,f,c]}]},c,n,e.C_BLOCK_COMMENT_MODE,h]};return{name:"C",aliases:["h"],keywords:C,disableAutodetect:!0,illegal:"=]/,contains:[{beginKeywords:"final class struct"},e.TITLE_MODE]}]),exports:{preprocessor:h,strings:g,keywords:C}}}var Z$=X$;function J$(e){const t=e.regex,n=["div","mod","in","and","or","not","xor","asserterror","begin","case","do","downto","else","end","exit","for","local","if","of","repeat","then","to","until","while","with","var"],r="false true",a=[e.C_LINE_COMMENT_MODE,e.COMMENT(/\{/,/\}/,{relevance:0}),e.COMMENT(/\(\*/,/\*\)/,{relevance:10})],l={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},u={className:"string",begin:/(#\d+)+/},c={className:"number",begin:"\\b\\d+(\\.\\d+)?(DT|D|T)",relevance:0},d={className:"string",begin:'"',end:'"'},g={match:[/procedure/,/\s+/,/[a-zA-Z_][\w@]*/,/\s*/],scope:{1:"keyword",3:"title.function"},contains:[{className:"params",begin:/\(/,end:/\)/,keywords:n,contains:[l,u,e.NUMBER_MODE]},...a]},f=["Table","Form","Report","Dataport","Codeunit","XMLport","MenuSuite","Page","Query"],h={match:[/OBJECT/,/\s+/,t.either(...f),/\s+/,/\d+/,/\s+(?=[^\s])/,/.*/,/$/],relevance:3,scope:{1:"keyword",3:"type",5:"number",7:"title"}};return{name:"C/AL",case_insensitive:!0,keywords:{keyword:n,literal:r},illegal:/\/\*/,contains:[{match:/[\w]+(?=\=)/,scope:"attribute",relevance:0},l,u,c,d,e.NUMBER_MODE,h,g]}}var eH=J$;function tH(e){const t=["struct","enum","interface","union","group","import","using","const","annotation","extends","in","of","on","as","with","from","fixed"],n=["Void","Bool","Int8","Int16","Int32","Int64","UInt8","UInt16","UInt32","UInt64","Float32","Float64","Text","Data","AnyPointer","AnyStruct","Capability","List"],r=["true","false"],a={variants:[{match:[/(struct|enum|interface)/,/\s+/,e.IDENT_RE]},{match:[/extends/,/\s*\(/,e.IDENT_RE,/\s*\)/]}],scope:{1:"keyword",3:"title.class"}};return{name:"Cap\u2019n Proto",aliases:["capnp"],keywords:{keyword:t,type:n,literal:r},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.HASH_COMMENT_MODE,{className:"meta",begin:/@0x[\w\d]{16};/,illegal:/\n/},{className:"symbol",begin:/@\d+\b/},a]}}var nH=tH;function rH(e){const t=["assembly","module","package","import","alias","class","interface","object","given","value","assign","void","function","new","of","extends","satisfies","abstracts","in","out","return","break","continue","throw","assert","dynamic","if","else","switch","case","for","while","try","catch","finally","then","let","this","outer","super","is","exists","nonempty"],n=["shared","abstract","formal","default","actual","variable","late","native","deprecated","final","sealed","annotation","suppressWarnings","small"],r=["doc","by","license","see","throws","tagged"],a={className:"subst",excludeBegin:!0,excludeEnd:!0,begin:/``/,end:/``/,keywords:t,relevance:10},l=[{className:"string",begin:'"""',end:'"""',relevance:10},{className:"string",begin:'"',end:'"',contains:[a]},{className:"string",begin:"'",end:"'"},{className:"number",begin:"#[0-9a-fA-F_]+|\\$[01_]+|[0-9_]+(?:\\.[0-9_](?:[eE][+-]?\\d+)?)?[kMGTPmunpf]?",relevance:0}];return a.contains=l,{name:"Ceylon",keywords:{keyword:t.concat(n),meta:r},illegal:"\\$[^01]|#[^0-9a-fA-F]",contains:[e.C_LINE_COMMENT_MODE,e.COMMENT("/\\*","\\*/",{contains:["self"]}),{className:"meta",begin:'@[a-z]\\w*(?::"[^"]*")?'}].concat(l)}}var iH=rH;function aH(e){return{name:"Clean",aliases:["icl","dcl"],keywords:{keyword:["if","let","in","with","where","case","of","class","instance","otherwise","implementation","definition","system","module","from","import","qualified","as","special","code","inline","foreign","export","ccall","stdcall","generic","derive","infix","infixl","infixr"],built_in:"Int Real Char Bool",literal:"True False"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{begin:"->|<-[|:]?|#!?|>>=|\\{\\||\\|\\}|:==|=:|<>"}]}}var oH=aH;function sH(e){const t="a-zA-Z_\\-!.?+*=<>&'",n="[#]?["+t+"]["+t+"0-9/;:$#]*",r="def defonce defprotocol defstruct defmulti defmethod defn- defn defmacro deftype defrecord",a={$pattern:n,built_in:r+" cond apply if-not if-let if not not= =|0 <|0 >|0 <=|0 >=|0 ==|0 +|0 /|0 *|0 -|0 rem quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? class? distinct? isa? float? rational? reduced? ratio? odd? even? char? seq? vector? string? map? nil? contains? zero? instance? not-every? not-any? libspec? -> ->> .. . inc compare do dotimes mapcat take remove take-while drop letfn drop-last take-last drop-while while intern condp case reduced cycle split-at split-with repeat replicate iterate range merge zipmap declare line-seq sort comparator sort-by dorun doall nthnext nthrest partition eval doseq await await-for let agent atom send send-off release-pending-sends add-watch mapv filterv remove-watch agent-error restart-agent set-error-handler error-handler set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter monitor-exit macroexpand macroexpand-1 for dosync and or when when-not when-let comp juxt partial sequence memoize constantly complement identity assert peek pop doto proxy first rest cons cast coll last butlast sigs reify second ffirst fnext nfirst nnext meta with-meta ns in-ns create-ns import refer keys select-keys vals key val rseq name namespace promise into transient persistent! conj! assoc! dissoc! pop! disj! use class type num float double short byte boolean bigint biginteger bigdec print-method print-dup throw-if printf format load compile get-in update-in pr pr-on newline flush read slurp read-line subvec with-open memfn time re-find re-groups rand-int rand mod locking assert-valid-fdecl alias resolve ref deref refset swap! reset! set-validator! compare-and-set! alter-meta! reset-meta! commute get-validator alter ref-set ref-history-count ref-min-history ref-max-history ensure sync io! new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list disj get union difference intersection extend extend-type extend-protocol int nth delay count concat chunk chunk-buffer chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize"},l={begin:n,relevance:0},u={scope:"number",relevance:0,variants:[{match:/[-+]?0[xX][0-9a-fA-F]+N?/},{match:/[-+]?0[0-7]+N?/},{match:/[-+]?[1-9][0-9]?[rR][0-9a-zA-Z]+N?/},{match:/[-+]?[0-9]+\/[0-9]+N?/},{match:/[-+]?[0-9]+((\.[0-9]*([eE][+-]?[0-9]+)?M?)|([eE][+-]?[0-9]+M?|M))/},{match:/[-+]?([1-9][0-9]*|0)N?/}]},c={scope:"character",variants:[{match:/\\o[0-3]?[0-7]{1,2}/},{match:/\\u[0-9a-fA-F]{4}/},{match:/\\(newline|space|tab|formfeed|backspace|return)/},{match:/\\\S/,relevance:0}]},d={scope:"regex",begin:/#"/,end:/"/,contains:[e.BACKSLASH_ESCAPE]},g=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),f={scope:"punctuation",match:/,/,relevance:0},h=e.COMMENT(";","$",{relevance:0}),p={className:"literal",begin:/\b(true|false|nil)\b/},m={begin:"\\[|(#::?"+n+")?\\{",end:"[\\]\\}]",relevance:0},y={className:"symbol",begin:"[:]{1,2}"+n},b={begin:"\\(",end:"\\)"},C={endsWithParent:!0,relevance:0},E={keywords:a,className:"name",begin:n,relevance:0,starts:C},v=[f,b,c,d,g,h,y,m,u,p,l],O={beginKeywords:r,keywords:{$pattern:n,keyword:r},end:'(\\[|#|\\d|"|:|\\{|\\)|\\(|$)',contains:[{className:"title",begin:n,relevance:0,excludeEnd:!0,endsParent:!0}].concat(v)};return b.contains=[O,E,C],C.contains=v,m.contains=v,{name:"Clojure",aliases:["clj","edn"],illegal:/\S/,contains:[f,b,c,d,g,h,y,m,u,p]}}var lH=sH;function cH(e){return{name:"Clojure REPL",contains:[{className:"meta.prompt",begin:/^([\w.-]+|\s*#_)?=>/,starts:{end:/$/,subLanguage:"clojure"}}]}}var uH=cH;function dH(e){return{name:"CMake",aliases:["cmake.in"],case_insensitive:!0,keywords:{keyword:"break cmake_host_system_information cmake_minimum_required cmake_parse_arguments cmake_policy configure_file continue elseif else endforeach endfunction endif endmacro endwhile execute_process file find_file find_library find_package find_path find_program foreach function get_cmake_property get_directory_property get_filename_component get_property if include include_guard list macro mark_as_advanced math message option return separate_arguments set_directory_properties set_property set site_name string unset variable_watch while add_compile_definitions add_compile_options add_custom_command add_custom_target add_definitions add_dependencies add_executable add_library add_link_options add_subdirectory add_test aux_source_directory build_command create_test_sourcelist define_property enable_language enable_testing export fltk_wrap_ui get_source_file_property get_target_property get_test_property include_directories include_external_msproject include_regular_expression install link_directories link_libraries load_cache project qt_wrap_cpp qt_wrap_ui remove_definitions set_source_files_properties set_target_properties set_tests_properties source_group target_compile_definitions target_compile_features target_compile_options target_include_directories target_link_directories target_link_libraries target_link_options target_sources try_compile try_run ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ctest_test ctest_update ctest_upload build_name exec_program export_library_dependencies install_files install_programs install_targets load_command make_directory output_required_files remove subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file qt5_use_modules qt5_use_package qt5_wrap_cpp on off true false and or not command policy target test exists is_newer_than is_directory is_symlink is_absolute matches less greater equal less_equal greater_equal strless strgreater strequal strless_equal strgreater_equal version_less version_greater version_equal version_less_equal version_greater_equal in_list defined"},contains:[{className:"variable",begin:/\$\{/,end:/\}/},e.COMMENT(/#\[\[/,/]]/),e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE]}}var _H=dH;const pH=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],mH=["true","false","null","undefined","NaN","Infinity"],fH=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],gH=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],hH=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],EH=[].concat(hH,fH,gH);function SH(e){const t=["npm","print"],n=["yes","no","on","off"],r=["then","unless","until","loop","by","when","and","or","is","isnt","not"],a=["var","const","let","function","static"],l=y=>b=>!y.includes(b),u={keyword:pH.concat(r).filter(l(a)),literal:mH.concat(n),built_in:EH.concat(t)},c="[A-Za-z$_][0-9A-Za-z$_]*",d={className:"subst",begin:/#\{/,end:/\}/,keywords:u},g=[e.BINARY_NUMBER_MODE,e.inherit(e.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[e.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[e.BACKSLASH_ESCAPE,d]},{begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,d]}]},{className:"regexp",variants:[{begin:"///",end:"///",contains:[d,e.HASH_COMMENT_MODE]},{begin:"//[gim]{0,3}(?=\\W)",relevance:0},{begin:/\/(?![ *]).*?(?![\\]).\/[gim]{0,3}(?=\W)/}]},{begin:"@"+c},{subLanguage:"javascript",excludeBegin:!0,excludeEnd:!0,variants:[{begin:"```",end:"```"},{begin:"`",end:"`"}]}];d.contains=g;const f=e.inherit(e.TITLE_MODE,{begin:c}),h="(\\(.*\\)\\s*)?\\B[-=]>",p={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:u,contains:["self"].concat(g)}]},m={variants:[{match:[/class\s+/,c,/\s+extends\s+/,c]},{match:[/class\s+/,c]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:u};return{name:"CoffeeScript",aliases:["coffee","cson","iced"],keywords:u,illegal:/\/\*/,contains:[...g,e.COMMENT("###","###"),e.HASH_COMMENT_MODE,{className:"function",begin:"^\\s*"+c+"\\s*=\\s*"+h,end:"[-=]>",returnBegin:!0,contains:[f,p]},{begin:/[:\(,=]\s*/,relevance:0,contains:[{className:"function",begin:h,end:"[-=]>",returnBegin:!0,contains:[p]}]},m,{begin:c+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}]}}var bH=SH;function vH(e){return{name:"Coq",keywords:{keyword:["_|0","as","at","cofix","else","end","exists","exists2","fix","for","forall","fun","if","IF","in","let","match","mod","Prop","return","Set","then","Type","using","where","with","Abort","About","Add","Admit","Admitted","All","Arguments","Assumptions","Axiom","Back","BackTo","Backtrack","Bind","Blacklist","Canonical","Cd","Check","Class","Classes","Close","Coercion","Coercions","CoFixpoint","CoInductive","Collection","Combined","Compute","Conjecture","Conjectures","Constant","constr","Constraint","Constructors","Context","Corollary","CreateHintDb","Cut","Declare","Defined","Definition","Delimit","Dependencies","Dependent","Derive","Drop","eauto","End","Equality","Eval","Example","Existential","Existentials","Existing","Export","exporting","Extern","Extract","Extraction","Fact","Field","Fields","File","Fixpoint","Focus","for","From","Function","Functional","Generalizable","Global","Goal","Grab","Grammar","Graph","Guarded","Heap","Hint","HintDb","Hints","Hypotheses","Hypothesis","ident","Identity","If","Immediate","Implicit","Import","Include","Inductive","Infix","Info","Initial","Inline","Inspect","Instance","Instances","Intro","Intros","Inversion","Inversion_clear","Language","Left","Lemma","Let","Libraries","Library","Load","LoadPath","Local","Locate","Ltac","ML","Mode","Module","Modules","Monomorphic","Morphism","Next","NoInline","Notation","Obligation","Obligations","Opaque","Open","Optimize","Options","Parameter","Parameters","Parametric","Path","Paths","pattern","Polymorphic","Preterm","Print","Printing","Program","Projections","Proof","Proposition","Pwd","Qed","Quit","Rec","Record","Recursive","Redirect","Relation","Remark","Remove","Require","Reserved","Reset","Resolve","Restart","Rewrite","Right","Ring","Rings","Save","Scheme","Scope","Scopes","Script","Search","SearchAbout","SearchHead","SearchPattern","SearchRewrite","Section","Separate","Set","Setoid","Show","Solve","Sorted","Step","Strategies","Strategy","Structure","SubClass","Table","Tables","Tactic","Term","Test","Theorem","Time","Timeout","Transparent","Type","Typeclasses","Types","Undelimit","Undo","Unfocus","Unfocused","Unfold","Universe","Universes","Unset","Unshelve","using","Variable","Variables","Variant","Verbose","Visibility","where","with"],built_in:["abstract","absurd","admit","after","apply","as","assert","assumption","at","auto","autorewrite","autounfold","before","bottom","btauto","by","case","case_eq","cbn","cbv","change","classical_left","classical_right","clear","clearbody","cofix","compare","compute","congruence","constr_eq","constructor","contradict","contradiction","cut","cutrewrite","cycle","decide","decompose","dependent","destruct","destruction","dintuition","discriminate","discrR","do","double","dtauto","eapply","eassumption","eauto","ecase","econstructor","edestruct","ediscriminate","eelim","eexact","eexists","einduction","einjection","eleft","elim","elimtype","enough","equality","erewrite","eright","esimplify_eq","esplit","evar","exact","exactly_once","exfalso","exists","f_equal","fail","field","field_simplify","field_simplify_eq","first","firstorder","fix","fold","fourier","functional","generalize","generalizing","gfail","give_up","has_evar","hnf","idtac","in","induction","injection","instantiate","intro","intro_pattern","intros","intuition","inversion","inversion_clear","is_evar","is_var","lapply","lazy","left","lia","lra","move","native_compute","nia","nsatz","omega","once","pattern","pose","progress","proof","psatz","quote","record","red","refine","reflexivity","remember","rename","repeat","replace","revert","revgoals","rewrite","rewrite_strat","right","ring","ring_simplify","rtauto","set","setoid_reflexivity","setoid_replace","setoid_rewrite","setoid_symmetry","setoid_transitivity","shelve","shelve_unifiable","simpl","simple","simplify_eq","solve","specialize","split","split_Rabs","split_Rmult","stepl","stepr","subst","sum","swap","symmetry","tactic","tauto","time","timeout","top","transitivity","trivial","try","tryif","unfold","unify","until","using","vm_compute","with"]},contains:[e.QUOTE_STRING_MODE,e.COMMENT("\\(\\*","\\*\\)"),e.C_NUMBER_MODE,{className:"type",excludeBegin:!0,begin:"\\|\\s*",end:"\\w+"},{begin:/[-=]>/}]}}var TH=vH;function yH(e){return{name:"Cach\xE9 Object Script",case_insensitive:!0,aliases:["cls"],keywords:"property parameter class classmethod clientmethod extends as break catch close continue do d|0 else elseif for goto halt hang h|0 if job j|0 kill k|0 lock l|0 merge new open quit q|0 read r|0 return set s|0 tcommit throw trollback try tstart use view while write w|0 xecute x|0 zkill znspace zn ztrap zwrite zw zzdump zzwrite print zbreak zinsert zload zprint zremove zsave zzprint mv mvcall mvcrt mvdim mvprint zquit zsync ascii",contains:[{className:"number",begin:"\\b(\\d+(\\.\\d*)?|\\.\\d+)",relevance:0},{className:"string",variants:[{begin:'"',end:'"',contains:[{begin:'""',relevance:0}]}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"comment",begin:/;/,end:"$",relevance:0},{className:"built_in",begin:/(?:\$\$?|\.\.)\^?[a-zA-Z]+/},{className:"built_in",begin:/\$\$\$[a-zA-Z]+/},{className:"built_in",begin:/%[a-z]+(?:\.[a-z]+)*/},{className:"symbol",begin:/\^%?[a-zA-Z][\w]*/},{className:"keyword",begin:/##class|##super|#define|#dim/},{begin:/&sql\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,subLanguage:"sql"},{begin:/&(js|jscript|javascript)/,excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"},{begin:/&html<\s*\s*>/,subLanguage:"xml"}]}}var CH=yH;function RH(e){const t=e.regex,n=e.COMMENT("//","$",{contains:[{begin:/\\\n/}]}),r="decltype\\(auto\\)",a="[a-zA-Z_]\\w*::",l="<[^<>]+>",u="(?!struct)("+r+"|"+t.optional(a)+"[a-zA-Z_]\\w*"+t.optional(l)+")",c={className:"type",begin:"\\b[a-z\\d_]*_t\\b"},d="\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)",g={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'("+d+"|.)",end:"'",illegal:"."},e.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,end:/\)([^()\\ ]{0,16})"/})]},f={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},h={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},e.inherit(g,{className:"string"}),{className:"string",begin:/<.*?>/},n,e.C_BLOCK_COMMENT_MODE]},p={className:"title",begin:t.optional(a)+e.IDENT_RE,relevance:0},m=t.optional(a)+e.IDENT_RE+"\\s*\\(",y=["alignas","alignof","and","and_eq","asm","atomic_cancel","atomic_commit","atomic_noexcept","auto","bitand","bitor","break","case","catch","class","co_await","co_return","co_yield","compl","concept","const_cast|10","consteval","constexpr","constinit","continue","decltype","default","delete","do","dynamic_cast|10","else","enum","explicit","export","extern","false","final","for","friend","goto","if","import","inline","module","mutable","namespace","new","noexcept","not","not_eq","nullptr","operator","or","or_eq","override","private","protected","public","reflexpr","register","reinterpret_cast|10","requires","return","sizeof","static_assert","static_cast|10","struct","switch","synchronized","template","this","thread_local","throw","transaction_safe","transaction_safe_dynamic","true","try","typedef","typeid","typename","union","using","virtual","volatile","while","xor","xor_eq"],b=["bool","char","char16_t","char32_t","char8_t","double","float","int","long","short","void","wchar_t","unsigned","signed","const","static"],C=["any","auto_ptr","barrier","binary_semaphore","bitset","complex","condition_variable","condition_variable_any","counting_semaphore","deque","false_type","future","imaginary","initializer_list","istringstream","jthread","latch","lock_guard","multimap","multiset","mutex","optional","ostringstream","packaged_task","pair","promise","priority_queue","queue","recursive_mutex","recursive_timed_mutex","scoped_lock","set","shared_future","shared_lock","shared_mutex","shared_timed_mutex","shared_ptr","stack","string_view","stringstream","timed_mutex","thread","true_type","tuple","unique_lock","unique_ptr","unordered_map","unordered_multimap","unordered_multiset","unordered_set","variant","vector","weak_ptr","wstring","wstring_view"],E=["abort","abs","acos","apply","as_const","asin","atan","atan2","calloc","ceil","cerr","cin","clog","cos","cosh","cout","declval","endl","exchange","exit","exp","fabs","floor","fmod","forward","fprintf","fputs","free","frexp","fscanf","future","invoke","isalnum","isalpha","iscntrl","isdigit","isgraph","islower","isprint","ispunct","isspace","isupper","isxdigit","labs","launder","ldexp","log","log10","make_pair","make_shared","make_shared_for_overwrite","make_tuple","make_unique","malloc","memchr","memcmp","memcpy","memset","modf","move","pow","printf","putchar","puts","realloc","scanf","sin","sinh","snprintf","sprintf","sqrt","sscanf","std","stderr","stdin","stdout","strcat","strchr","strcmp","strcpy","strcspn","strlen","strncat","strncmp","strncpy","strpbrk","strrchr","strspn","strstr","swap","tan","tanh","terminate","to_underlying","tolower","toupper","vfprintf","visit","vprintf","vsprintf"],S={type:b,keyword:y,literal:["NULL","false","nullopt","nullptr","true"],built_in:["_Pragma"],_type_hints:C},A={className:"function.dispatch",relevance:0,keywords:{_hint:E},begin:t.concat(/\b/,/(?!decltype)/,/(?!if)/,/(?!for)/,/(?!switch)/,/(?!while)/,e.IDENT_RE,t.lookahead(/(<[^<>]+>|)\s*\(/))},P=[A,h,c,n,e.C_BLOCK_COMMENT_MODE,f,g],F={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:S,contains:P.concat([{begin:/\(/,end:/\)/,keywords:S,contains:P.concat(["self"]),relevance:0}]),relevance:0},G={className:"function",begin:"("+u+"[\\*&\\s]+)+"+m,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:S,illegal:/[^\w\s\*&:<>.]/,contains:[{begin:r,keywords:S,relevance:0},{begin:m,returnBegin:!0,contains:[p],relevance:0},{begin:/::/,relevance:0},{begin:/:/,endsWithParent:!0,contains:[g,f]},{relevance:0,match:/,/},{className:"params",begin:/\(/,end:/\)/,keywords:S,relevance:0,contains:[n,e.C_BLOCK_COMMENT_MODE,g,f,c,{begin:/\(/,end:/\)/,keywords:S,relevance:0,contains:["self",n,e.C_BLOCK_COMMENT_MODE,g,f,c]}]},c,n,e.C_BLOCK_COMMENT_MODE,h]};return{name:"C++",aliases:["cc","c++","h++","hpp","hh","hxx","cxx"],keywords:S,illegal:"",keywords:S,contains:["self",c]},{begin:e.IDENT_RE+"::",keywords:S},{match:[/\b(?:enum(?:\s+(?:class|struct))?|class|struct|union)/,/\s+/,/\w+/],className:{1:"keyword",3:"title.class"}}])}}var OH=RH;function NH(e){const t="primitive rsc_template",n="group clone ms master location colocation order fencing_topology rsc_ticket acl_target acl_group user role tag xml",r="property rsc_defaults op_defaults",a="params meta operations op rule attributes utilization",l="read write deny defined not_defined in_range date spec in ref reference attribute type xpath version and or lt gt tag lte gte eq ne \\",u="number string",c="Master Started Slave Stopped start promote demote stop monitor true false";return{name:"crmsh",aliases:["crm","pcmk"],case_insensitive:!0,keywords:{keyword:a+" "+l+" "+u,literal:c},contains:[e.HASH_COMMENT_MODE,{beginKeywords:"node",starts:{end:"\\s*([\\w_-]+:)?",starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*"}}},{beginKeywords:t,starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*",starts:{end:"\\s*@?[\\w_][\\w_\\.:-]*"}}},{begin:"\\b("+n.split(" ").join("|")+")\\s+",keywords:n,starts:{className:"title",end:"[\\$\\w_][\\w_-]*"}},{beginKeywords:r,starts:{className:"title",end:"\\s*([\\w_-]+:)?"}},e.QUOTE_STRING_MODE,{className:"meta",begin:"(ocf|systemd|service|lsb):[\\w_:-]+",relevance:0},{className:"number",begin:"\\b\\d+(\\.\\d+)?(ms|s|h|m)?",relevance:0},{className:"literal",begin:"[-]?(infinity|inf)",relevance:0},{className:"attr",begin:/([A-Za-z$_#][\w_-]+)=/,relevance:0},{className:"tag",begin:"",relevance:0}]}}var AH=NH;function IH(e){const t="(_?[ui](8|16|32|64|128))?",n="(_?f(32|64))?",r="[a-zA-Z_]\\w*[!?=]?",a="[a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|[=!]~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~|]|//|//=|&[-+*]=?|&\\*\\*|\\[\\][=?]?",l="[A-Za-z_]\\w*(::\\w+)*(\\?|!)?",u={$pattern:r,keyword:"abstract alias annotation as as? asm begin break case class def do else elsif end ensure enum extend for fun if include instance_sizeof is_a? lib macro module next nil? of out pointerof private protected rescue responds_to? return require select self sizeof struct super then type typeof union uninitialized unless until verbatim when while with yield __DIR__ __END_LINE__ __FILE__ __LINE__",literal:"false nil true"},c={className:"subst",begin:/#\{/,end:/\}/,keywords:u},d={className:"variable",begin:"(\\$\\W)|((\\$|@@?)(\\w+))(?=[^@$?])(?![A-Za-z])(?![@$?'])"},g={className:"template-variable",variants:[{begin:"\\{\\{",end:"\\}\\}"},{begin:"\\{%",end:"%\\}"}],keywords:u};function f(E,v){const O=[{begin:E,end:v}];return O[0].contains=O,O}const h={className:"string",contains:[e.BACKSLASH_ESCAPE,c],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:"%[Qwi]?\\(",end:"\\)",contains:f("\\(","\\)")},{begin:"%[Qwi]?\\[",end:"\\]",contains:f("\\[","\\]")},{begin:"%[Qwi]?\\{",end:/\}/,contains:f(/\{/,/\}/)},{begin:"%[Qwi]?<",end:">",contains:f("<",">")},{begin:"%[Qwi]?\\|",end:"\\|"},{begin:/<<-\w+$/,end:/^\s*\w+$/}],relevance:0},p={className:"string",variants:[{begin:"%q\\(",end:"\\)",contains:f("\\(","\\)")},{begin:"%q\\[",end:"\\]",contains:f("\\[","\\]")},{begin:"%q\\{",end:/\}/,contains:f(/\{/,/\}/)},{begin:"%q<",end:">",contains:f("<",">")},{begin:"%q\\|",end:"\\|"},{begin:/<<-'\w+'$/,end:/^\s*\w+$/}],relevance:0},m={begin:"(?!%\\})("+e.RE_STARTERS_RE+"|\\n|\\b(case|if|select|unless|until|when|while)\\b)\\s*",keywords:"case if select unless until when while",contains:[{className:"regexp",contains:[e.BACKSLASH_ESCAPE,c],variants:[{begin:"//[a-z]*",relevance:0},{begin:"/(?!\\/)",end:"/[a-z]*"}]}],relevance:0},y={className:"regexp",contains:[e.BACKSLASH_ESCAPE,c],variants:[{begin:"%r\\(",end:"\\)",contains:f("\\(","\\)")},{begin:"%r\\[",end:"\\]",contains:f("\\[","\\]")},{begin:"%r\\{",end:/\}/,contains:f(/\{/,/\}/)},{begin:"%r<",end:">",contains:f("<",">")},{begin:"%r\\|",end:"\\|"}],relevance:0},b={className:"meta",begin:"@\\[",end:"\\]",contains:[e.inherit(e.QUOTE_STRING_MODE,{className:"string"})]},C=[g,h,p,y,m,b,d,e.HASH_COMMENT_MODE,{className:"class",beginKeywords:"class module struct",end:"$|;",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:l}),{begin:"<"}]},{className:"class",beginKeywords:"lib enum union",end:"$|;",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:l})]},{beginKeywords:"annotation",end:"$|;",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:l})],relevance:2},{className:"function",beginKeywords:"def",end:/\B\b/,contains:[e.inherit(e.TITLE_MODE,{begin:a,endsParent:!0})]},{className:"function",beginKeywords:"fun macro",end:/\B\b/,contains:[e.inherit(e.TITLE_MODE,{begin:a,endsParent:!0})],relevance:2},{className:"symbol",begin:e.UNDERSCORE_IDENT_RE+"(!|\\?)?:",relevance:0},{className:"symbol",begin:":",contains:[h,{begin:a}],relevance:0},{className:"number",variants:[{begin:"\\b0b([01_]+)"+t},{begin:"\\b0o([0-7_]+)"+t},{begin:"\\b0x([A-Fa-f0-9_]+)"+t},{begin:"\\b([1-9][0-9_]*[0-9]|[0-9])(\\.[0-9][0-9_]*)?([eE]_?[-+]?[0-9_]*)?"+n+"(?!_)"},{begin:"\\b([1-9][0-9_]*|0)"+t}],relevance:0}];return c.contains=C,g.contains=C.slice(1),{name:"Crystal",aliases:["cr"],keywords:u,contains:C}}var DH=IH;function xH(e){const t=["bool","byte","char","decimal","delegate","double","dynamic","enum","float","int","long","nint","nuint","object","sbyte","short","string","ulong","uint","ushort"],n=["public","private","protected","static","internal","protected","abstract","async","extern","override","unsafe","virtual","new","sealed","partial"],r=["default","false","null","true"],a=["abstract","as","base","break","case","catch","class","const","continue","do","else","event","explicit","extern","finally","fixed","for","foreach","goto","if","implicit","in","interface","internal","is","lock","namespace","new","operator","out","override","params","private","protected","public","readonly","record","ref","return","scoped","sealed","sizeof","stackalloc","static","struct","switch","this","throw","try","typeof","unchecked","unsafe","using","virtual","void","volatile","while"],l=["add","alias","and","ascending","async","await","by","descending","equals","from","get","global","group","init","into","join","let","nameof","not","notnull","on","or","orderby","partial","remove","select","set","unmanaged","value|0","var","when","where","with","yield"],u={keyword:a.concat(l),built_in:t,literal:r},c=e.inherit(e.TITLE_MODE,{begin:"[a-zA-Z](\\.?\\w)*"}),d={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)(u|U|l|L|ul|UL|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},g={className:"string",begin:'@"',end:'"',contains:[{begin:'""'}]},f=e.inherit(g,{illegal:/\n/}),h={className:"subst",begin:/\{/,end:/\}/,keywords:u},p=e.inherit(h,{illegal:/\n/}),m={className:"string",begin:/\$"/,end:'"',illegal:/\n/,contains:[{begin:/\{\{/},{begin:/\}\}/},e.BACKSLASH_ESCAPE,p]},y={className:"string",begin:/\$@"/,end:'"',contains:[{begin:/\{\{/},{begin:/\}\}/},{begin:'""'},h]},b=e.inherit(y,{illegal:/\n/,contains:[{begin:/\{\{/},{begin:/\}\}/},{begin:'""'},p]});h.contains=[y,m,g,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,d,e.C_BLOCK_COMMENT_MODE],p.contains=[b,m,f,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,d,e.inherit(e.C_BLOCK_COMMENT_MODE,{illegal:/\n/})];const C={variants:[y,m,g,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},E={begin:"<",end:">",contains:[{beginKeywords:"in out"},c]},v=e.IDENT_RE+"(<"+e.IDENT_RE+"(\\s*,\\s*"+e.IDENT_RE+")*>)?(\\[\\])?",O={begin:"@"+e.IDENT_RE,relevance:0};return{name:"C#",aliases:["cs","c#"],keywords:u,illegal:/::/,contains:[e.COMMENT("///","$",{returnBegin:!0,contains:[{className:"doctag",variants:[{begin:"///",relevance:0},{begin:""},{begin:""}]}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"meta",begin:"#",end:"$",keywords:{keyword:"if else elif endif define undef warning error line region endregion pragma checksum"}},C,d,{beginKeywords:"class interface",relevance:0,end:/[{;=]/,illegal:/[^\s:,]/,contains:[{beginKeywords:"where class"},c,E,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{beginKeywords:"namespace",relevance:0,end:/[{;=]/,illegal:/[^\s:]/,contains:[c,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{beginKeywords:"record",relevance:0,end:/[{;=]/,illegal:/[^\s:]/,contains:[c,E,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"meta",begin:"^\\s*\\[(?=[\\w])",excludeBegin:!0,end:"\\]",excludeEnd:!0,contains:[{className:"string",begin:/"/,end:/"/}]},{beginKeywords:"new return throw await else",relevance:0},{className:"function",begin:"("+v+"\\s+)+"+e.IDENT_RE+"\\s*(<[^=]+>\\s*)?\\(",returnBegin:!0,end:/\s*[{;=]/,excludeEnd:!0,keywords:u,contains:[{beginKeywords:n.join(" "),relevance:0},{begin:e.IDENT_RE+"\\s*(<[^=]+>\\s*)?\\(",returnBegin:!0,contains:[e.TITLE_MODE,E],relevance:0},{match:/\(\)/},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:u,relevance:0,contains:[C,d,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},O]}}var wH=xH;function MH(e){return{name:"CSP",case_insensitive:!1,keywords:{$pattern:"[a-zA-Z][a-zA-Z0-9_-]*",keyword:["base-uri","child-src","connect-src","default-src","font-src","form-action","frame-ancestors","frame-src","img-src","manifest-src","media-src","object-src","plugin-types","report-uri","sandbox","script-src","style-src","trusted-types","unsafe-hashes","worker-src"]},contains:[{className:"string",begin:"'",end:"'"},{className:"attribute",begin:"^Content",end:":",excludeEnd:!0}]}}var LH=MH;const kH=e=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:e.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:e.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z][A-Za-z0-9_-]*/}}),PH=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","p","q","quote","samp","section","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],BH=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"],FH=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"],UH=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"],GH=["align-content","align-items","align-self","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","empty-cells","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","isolation","justify-content","left","letter-spacing","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","resize","rest","rest-after","rest-before","right","row-gap","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","speak","speak-as","src","tab-size","table-layout","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-style","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","unicode-bidi","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","z-index"].reverse();function qH(e){const t=e.regex,n=kH(e),r={begin:/-(webkit|moz|ms|o)-(?=[a-z])/},a="and or not only",l=/@-?\w[\w]*(-\w+)*/,u="[a-zA-Z-][a-zA-Z0-9_-]*",c=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE];return{name:"CSS",case_insensitive:!0,illegal:/[=|'\$]/,keywords:{keyframePosition:"from to"},classNameAliases:{keyframePosition:"selector-tag"},contains:[n.BLOCK_COMMENT,r,n.CSS_NUMBER_MODE,{className:"selector-id",begin:/#[A-Za-z0-9_-]+/,relevance:0},{className:"selector-class",begin:"\\."+u,relevance:0},n.ATTRIBUTE_SELECTOR_MODE,{className:"selector-pseudo",variants:[{begin:":("+FH.join("|")+")"},{begin:":(:)?("+UH.join("|")+")"}]},n.CSS_VARIABLE,{className:"attribute",begin:"\\b("+GH.join("|")+")\\b"},{begin:/:/,end:/[;}{]/,contains:[n.BLOCK_COMMENT,n.HEXCOLOR,n.IMPORTANT,n.CSS_NUMBER_MODE,...c,{begin:/(url|data-uri)\(/,end:/\)/,relevance:0,keywords:{built_in:"url data-uri"},contains:[...c,{className:"string",begin:/[^)]/,endsWithParent:!0,excludeEnd:!0}]},n.FUNCTION_DISPATCH]},{begin:t.lookahead(/@/),end:"[{;]",relevance:0,illegal:/:/,contains:[{className:"keyword",begin:l},{begin:/\s/,endsWithParent:!0,excludeEnd:!0,relevance:0,keywords:{$pattern:/[a-z-]+/,keyword:a,attribute:BH.join(" ")},contains:[{begin:/[a-z-]+(?=:)/,className:"attribute"},...c,n.CSS_NUMBER_MODE]}]},{className:"selector-tag",begin:"\\b("+PH.join("|")+")\\b"}]}}var YH=qH;function zH(e){const t={$pattern:e.UNDERSCORE_IDENT_RE,keyword:"abstract alias align asm assert auto body break byte case cast catch class const continue debug default delete deprecated do else enum export extern final finally for foreach foreach_reverse|10 goto if immutable import in inout int interface invariant is lazy macro mixin module new nothrow out override package pragma private protected public pure ref return scope shared static struct super switch synchronized template this throw try typedef typeid typeof union unittest version void volatile while with __FILE__ __LINE__ __gshared|10 __thread __traits __DATE__ __EOF__ __TIME__ __TIMESTAMP__ __VENDOR__ __VERSION__",built_in:"bool cdouble cent cfloat char creal dchar delegate double dstring float function idouble ifloat ireal long real short string ubyte ucent uint ulong ushort wchar wstring",literal:"false null true"},n="(0|[1-9][\\d_]*)",r="(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)",a="0[bB][01_]+",l="([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*)",u="0[xX]"+l,c="([eE][+-]?"+r+")",d="("+r+"(\\.\\d*|"+c+")|\\d+\\."+r+"|\\."+n+c+"?)",g="(0[xX]("+l+"\\."+l+"|\\.?"+l+")[pP][+-]?"+r+")",f="("+n+"|"+a+"|"+u+")",h="("+g+"|"+d+")",p=`\\\\(['"\\?\\\\abfnrtv]|u[\\dA-Fa-f]{4}|[0-7]{1,3}|x[\\dA-Fa-f]{2}|U[\\dA-Fa-f]{8})|&[a-zA-Z\\d]{2,};`,m={className:"number",begin:"\\b"+f+"(L|u|U|Lu|LU|uL|UL)?",relevance:0},y={className:"number",begin:"\\b("+h+"([fF]|L|i|[fF]i|Li)?|"+f+"(i|[fF]i|Li))",relevance:0},b={className:"string",begin:"'("+p+"|.)",end:"'",illegal:"."},E={className:"string",begin:'"',contains:[{begin:p,relevance:0}],end:'"[cwd]?'},v={className:"string",begin:'[rq]"',end:'"[cwd]?',relevance:5},O={className:"string",begin:"`",end:"`[cwd]?"},S={className:"string",begin:'x"[\\da-fA-F\\s\\n\\r]*"[cwd]?',relevance:10},A={className:"string",begin:'q"\\{',end:'\\}"'},P={className:"meta",begin:"^#!",end:"$",relevance:5},F={className:"meta",begin:"#(line)",end:"$",relevance:5},G={className:"keyword",begin:"@[a-zA-Z_][a-zA-Z_\\d]*"},z=e.COMMENT("\\/\\+","\\+\\/",{contains:["self"],relevance:10});return{name:"D",keywords:t,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,z,S,E,v,O,A,y,m,b,P,F,G]}}var $H=zH;function HH(e){const t=e.regex,n={begin:/<\/?[A-Za-z_]/,end:">",subLanguage:"xml",relevance:0},r={begin:"^[-\\*]{3,}",end:"$"},a={className:"code",variants:[{begin:"(`{3,})[^`](.|\\n)*?\\1`*[ ]*"},{begin:"(~{3,})[^~](.|\\n)*?\\1~*[ ]*"},{begin:"```",end:"```+[ ]*$"},{begin:"~~~",end:"~~~+[ ]*$"},{begin:"`.+?`"},{begin:"(?=^( {4}|\\t))",contains:[{begin:"^( {4}|\\t)",end:"(\\n)$"}],relevance:0}]},l={className:"bullet",begin:"^[ ]*([*+-]|(\\d+\\.))(?=\\s+)",end:"\\s+",excludeEnd:!0},u={begin:/^\[[^\n]+\]:/,returnBegin:!0,contains:[{className:"symbol",begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0},{className:"link",begin:/:\s*/,end:/$/,excludeBegin:!0}]},c=/[A-Za-z][A-Za-z0-9+.-]*/,d={variants:[{begin:/\[.+?\]\[.*?\]/,relevance:0},{begin:/\[.+?\]\(((data|javascript|mailto):|(?:http|ftp)s?:\/\/).*?\)/,relevance:2},{begin:t.concat(/\[.+?\]\(/,c,/:\/\/.*?\)/),relevance:2},{begin:/\[.+?\]\([./?&#].*?\)/,relevance:1},{begin:/\[.*?\]\(.*?\)/,relevance:0}],returnBegin:!0,contains:[{match:/\[(?=\])/},{className:"string",relevance:0,begin:"\\[",end:"\\]",excludeBegin:!0,returnEnd:!0},{className:"link",relevance:0,begin:"\\]\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0},{className:"symbol",relevance:0,begin:"\\]\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0}]},g={className:"strong",contains:[],variants:[{begin:/_{2}(?!\s)/,end:/_{2}/},{begin:/\*{2}(?!\s)/,end:/\*{2}/}]},f={className:"emphasis",contains:[],variants:[{begin:/\*(?![*\s])/,end:/\*/},{begin:/_(?![_\s])/,end:/_/,relevance:0}]},h=e.inherit(g,{contains:[]}),p=e.inherit(f,{contains:[]});g.contains.push(p),f.contains.push(h);let m=[n,d];return[g,f,h,p].forEach(C=>{C.contains=C.contains.concat(m)}),m=m.concat(g,f),{name:"Markdown",aliases:["md","mkdown","mkd"],contains:[{className:"section",variants:[{begin:"^#{1,6}",end:"$",contains:m},{begin:"(?=^.+?\\n[=-]{2,}$)",contains:[{begin:"^[=-]*$"},{begin:"^",end:"\\n",contains:m}]}]},n,l,g,f,{className:"quote",begin:"^>\\s+",contains:m,end:"$"},a,r,d,u]}}var VH=HH;function WH(e){const t={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"}]},n={className:"subst",variants:[{begin:/\$\{/,end:/\}/}],keywords:"true false null this is new super"},r={className:"string",variants:[{begin:"r'''",end:"'''"},{begin:'r"""',end:'"""'},{begin:"r'",end:"'",illegal:"\\n"},{begin:'r"',end:'"',illegal:"\\n"},{begin:"'''",end:"'''",contains:[e.BACKSLASH_ESCAPE,t,n]},{begin:'"""',end:'"""',contains:[e.BACKSLASH_ESCAPE,t,n]},{begin:"'",end:"'",illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,t,n]},{begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,t,n]}]};n.contains=[e.C_NUMBER_MODE,r];const a=["Comparable","DateTime","Duration","Function","Iterable","Iterator","List","Map","Match","Object","Pattern","RegExp","Set","Stopwatch","String","StringBuffer","StringSink","Symbol","Type","Uri","bool","double","int","num","Element","ElementList"],l=a.map(d=>`${d}?`),c={keyword:["abstract","as","assert","async","await","base","break","case","catch","class","const","continue","covariant","default","deferred","do","dynamic","else","enum","export","extends","extension","external","factory","false","final","finally","for","Function","get","hide","if","implements","import","in","interface","is","late","library","mixin","new","null","on","operator","part","required","rethrow","return","sealed","set","show","static","super","switch","sync","this","throw","true","try","typedef","var","void","when","while","with","yield"],built_in:a.concat(l).concat(["Never","Null","dynamic","print","document","querySelector","querySelectorAll","window"]),$pattern:/[A-Za-z][A-Za-z0-9_]*\??/};return{name:"Dart",keywords:c,contains:[r,e.COMMENT(/\/\*\*(?!\/)/,/\*\//,{subLanguage:"markdown",relevance:0}),e.COMMENT(/\/{3,} ?/,/$/,{contains:[{subLanguage:"markdown",begin:".",end:"$",relevance:0}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"class interface",end:/\{/,excludeEnd:!0,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"},{begin:"=>"}]}}var KH=WH;function QH(e){const t=["exports","register","file","shl","array","record","property","for","mod","while","set","ally","label","uses","raise","not","stored","class","safecall","var","interface","or","private","static","exit","index","inherited","to","else","stdcall","override","shr","asm","far","resourcestring","finalization","packed","virtual","out","and","protected","library","do","xorwrite","goto","near","function","end","div","overload","object","unit","begin","string","on","inline","repeat","until","destructor","write","message","program","with","read","initialization","except","default","nil","if","case","cdecl","in","downto","threadvar","of","try","pascal","const","external","constructor","type","public","then","implementation","finally","published","procedure","absolute","reintroduce","operator","as","is","abstract","alias","assembler","bitpacked","break","continue","cppdecl","cvar","enumerator","experimental","platform","deprecated","unimplemented","dynamic","export","far16","forward","generic","helper","implements","interrupt","iochecks","local","name","nodefault","noreturn","nostackframe","oldfpccall","otherwise","saveregisters","softfloat","specialize","strict","unaligned","varargs"],n=[e.C_LINE_COMMENT_MODE,e.COMMENT(/\{/,/\}/,{relevance:0}),e.COMMENT(/\(\*/,/\*\)/,{relevance:10})],r={className:"meta",variants:[{begin:/\{\$/,end:/\}/},{begin:/\(\*\$/,end:/\*\)/}]},a={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},l={className:"number",relevance:0,variants:[{begin:"\\$[0-9A-Fa-f]+"},{begin:"&[0-7]+"},{begin:"%[01]+"}]},u={className:"string",begin:/(#\d+)+/},c={begin:e.IDENT_RE+"\\s*=\\s*class\\s*\\(",returnBegin:!0,contains:[e.TITLE_MODE]},d={className:"function",beginKeywords:"function constructor destructor procedure",end:/[:;]/,keywords:"function constructor|10 destructor|10 procedure|10",contains:[e.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,keywords:t,contains:[a,u,r].concat(n)},r].concat(n)};return{name:"Delphi",aliases:["dpr","dfm","pas","pascal"],case_insensitive:!0,keywords:t,illegal:/"|\$[G-Zg-z]|\/\*|<\/|\|/,contains:[a,u,e.NUMBER_MODE,l,c,d,r].concat(n)}}var jH=QH;function XH(e){const t=e.regex;return{name:"Diff",aliases:["patch"],contains:[{className:"meta",relevance:10,match:t.either(/^@@ +-\d+,\d+ +\+\d+,\d+ +@@/,/^\*\*\* +\d+,\d+ +\*\*\*\*$/,/^--- +\d+,\d+ +----$/)},{className:"comment",variants:[{begin:t.either(/Index: /,/^index/,/={3,}/,/^-{3}/,/^\*{3} /,/^\+{3}/,/^diff --git/),end:/$/},{match:/^\*{15}$/}]},{className:"addition",begin:/^\+/,end:/$/},{className:"deletion",begin:/^-/,end:/$/},{className:"addition",begin:/^!/,end:/$/}]}}var ZH=XH;function JH(e){const t={begin:/\|[A-Za-z]+:?/,keywords:{name:"truncatewords removetags linebreaksbr yesno get_digit timesince random striptags filesizeformat escape linebreaks length_is ljust rjust cut urlize fix_ampersands title floatformat capfirst pprint divisibleby add make_list unordered_list urlencode timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort dictsortreversed default_if_none pluralize lower join center default truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first escapejs force_escape iriencode last safe safeseq truncatechars localize unlocalize localtime utc timezone"},contains:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE]};return{name:"Django",aliases:["jinja"],case_insensitive:!0,subLanguage:"xml",contains:[e.COMMENT(/\{%\s*comment\s*%\}/,/\{%\s*endcomment\s*%\}/),e.COMMENT(/\{#/,/#\}/),{className:"template-tag",begin:/\{%/,end:/%\}/,contains:[{className:"name",begin:/\w+/,keywords:{name:"comment endcomment load templatetag ifchanged endifchanged if endif firstof for endfor ifnotequal endifnotequal widthratio extends include spaceless endspaceless regroup ifequal endifequal ssi now with cycle url filter endfilter debug block endblock else autoescape endautoescape csrf_token empty elif endwith static trans blocktrans endblocktrans get_static_prefix get_media_prefix plural get_current_language language get_available_languages get_current_language_bidi get_language_info get_language_info_list localize endlocalize localtime endlocaltime timezone endtimezone get_current_timezone verbatim"},starts:{endsWithParent:!0,keywords:"in by as",contains:[t],relevance:0}}]},{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:[t]}]}}var eV=JH;function tV(e){return{name:"DNS Zone",aliases:["bind","zone"],keywords:["IN","A","AAAA","AFSDB","APL","CAA","CDNSKEY","CDS","CERT","CNAME","DHCID","DLV","DNAME","DNSKEY","DS","HIP","IPSECKEY","KEY","KX","LOC","MX","NAPTR","NS","NSEC","NSEC3","NSEC3PARAM","PTR","RRSIG","RP","SIG","SOA","SRV","SSHFP","TA","TKEY","TLSA","TSIG","TXT"],contains:[e.COMMENT(";","$",{relevance:0}),{className:"meta",begin:/^\$(TTL|GENERATE|INCLUDE|ORIGIN)\b/},{className:"number",begin:"((([0-9A-Fa-f]{1,4}:){7}([0-9A-Fa-f]{1,4}|:))|(([0-9A-Fa-f]{1,4}:){6}(:[0-9A-Fa-f]{1,4}|((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){5}(((:[0-9A-Fa-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){4}(((:[0-9A-Fa-f]{1,4}){1,3})|((:[0-9A-Fa-f]{1,4})?:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){3}(((:[0-9A-Fa-f]{1,4}){1,4})|((:[0-9A-Fa-f]{1,4}){0,2}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){2}(((:[0-9A-Fa-f]{1,4}){1,5})|((:[0-9A-Fa-f]{1,4}){0,3}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){1}(((:[0-9A-Fa-f]{1,4}){1,6})|((:[0-9A-Fa-f]{1,4}){0,4}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(:(((:[0-9A-Fa-f]{1,4}){1,7})|((:[0-9A-Fa-f]{1,4}){0,5}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:)))\\b"},{className:"number",begin:"((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9]).){3,3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\b"},e.inherit(e.NUMBER_MODE,{begin:/\b\d+[dhwm]?/})]}}var nV=tV;function rV(e){return{name:"Dockerfile",aliases:["docker"],case_insensitive:!0,keywords:["from","maintainer","expose","env","arg","user","onbuild","stopsignal"],contains:[e.HASH_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE,{beginKeywords:"run cmd entrypoint volume add copy workdir label healthcheck shell",starts:{end:/[^\\]$/,subLanguage:"bash"}}],illegal:"",illegal:"\\n"}]},t,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},a={className:"variable",begin:/&[a-z\d_]*\b/},l={className:"keyword",begin:"/[a-z][a-z\\d-]*/"},u={className:"symbol",begin:"^\\s*[a-zA-Z_][a-zA-Z\\d_]*:"},c={className:"params",relevance:0,begin:"<",end:">",contains:[n,a]},d={className:"title.class",begin:/[a-zA-Z_][a-zA-Z\d_@-]*(?=\s\{)/,relevance:.2},g={className:"title.class",begin:/^\/(?=\s*\{)/,relevance:10},f={match:/[a-z][a-z-,]+(?=;)/,relevance:0,scope:"attr"},h={relevance:0,match:[/[a-z][a-z-,]+/,/\s*/,/=/],scope:{1:"attr",3:"operator"}},p={scope:"punctuation",relevance:0,match:/\};|[;{}]/};return{name:"Device Tree",contains:[g,a,l,u,d,h,f,c,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n,t,r,p,{begin:e.IDENT_RE+"::",keywords:""}]}}var uV=cV;function dV(e){const t="if eq ne lt lte gt gte select default math sep";return{name:"Dust",aliases:["dst"],case_insensitive:!0,subLanguage:"xml",contains:[{className:"template-tag",begin:/\{[#\/]/,end:/\}/,illegal:/;/,contains:[{className:"name",begin:/[a-zA-Z\.-]+/,starts:{endsWithParent:!0,relevance:0,contains:[e.QUOTE_STRING_MODE]}}]},{className:"template-variable",begin:/\{/,end:/\}/,illegal:/;/,keywords:t}]}}var _V=dV;function pV(e){const t=e.COMMENT(/\(\*/,/\*\)/),n={className:"attribute",begin:/^[ ]*[a-zA-Z]+([\s_-]+[a-zA-Z]+)*/},a={begin:/=/,end:/[.;]/,contains:[t,{className:"meta",begin:/\?.*\?/},{className:"string",variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"`",end:"`"}]}]};return{name:"Extended Backus-Naur Form",illegal:/\S/,contains:[t,n,a]}}var mV=pV;function fV(e){const t=e.regex,n="[a-zA-Z_][a-zA-Z0-9_.]*(!|\\?)?",r="[a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?",u={$pattern:n,keyword:["after","alias","and","case","catch","cond","defstruct","defguard","do","else","end","fn","for","if","import","in","not","or","quote","raise","receive","require","reraise","rescue","try","unless","unquote","unquote_splicing","use","when","with|0"],literal:["false","nil","true"]},c={className:"subst",begin:/#\{/,end:/\}/,keywords:u},d={className:"number",begin:"(\\b0o[0-7_]+)|(\\b0b[01_]+)|(\\b0x[0-9a-fA-F_]+)|(-?\\b[0-9][0-9_]*(\\.[0-9_]+([eE][-+]?[0-9]+)?)?)",relevance:0},f={match:/\\[\s\S]/,scope:"char.escape",relevance:0},h=`[/|([{<"']`,p=[{begin:/"/,end:/"/},{begin:/'/,end:/'/},{begin:/\//,end:/\//},{begin:/\|/,end:/\|/},{begin:/\(/,end:/\)/},{begin:/\[/,end:/\]/},{begin:/\{/,end:/\}/},{begin://}],m=A=>({scope:"char.escape",begin:t.concat(/\\/,A),relevance:0}),y={className:"string",begin:"~[a-z](?="+h+")",contains:p.map(A=>e.inherit(A,{contains:[m(A.end),f,c]}))},b={className:"string",begin:"~[A-Z](?="+h+")",contains:p.map(A=>e.inherit(A,{contains:[m(A.end)]}))},C={className:"regex",variants:[{begin:"~r(?="+h+")",contains:p.map(A=>e.inherit(A,{end:t.concat(A.end,/[uismxfU]{0,7}/),contains:[m(A.end),f,c]}))},{begin:"~R(?="+h+")",contains:p.map(A=>e.inherit(A,{end:t.concat(A.end,/[uismxfU]{0,7}/),contains:[m(A.end)]}))}]},E={className:"string",contains:[e.BACKSLASH_ESCAPE,c],variants:[{begin:/"""/,end:/"""/},{begin:/'''/,end:/'''/},{begin:/~S"""/,end:/"""/,contains:[]},{begin:/~S"/,end:/"/,contains:[]},{begin:/~S'''/,end:/'''/,contains:[]},{begin:/~S'/,end:/'/,contains:[]},{begin:/'/,end:/'/},{begin:/"/,end:/"/}]},v={className:"function",beginKeywords:"def defp defmacro defmacrop",end:/\B\b/,contains:[e.inherit(e.TITLE_MODE,{begin:n,endsParent:!0})]},O=e.inherit(v,{className:"class",beginKeywords:"defimpl defmodule defprotocol defrecord",end:/\bdo\b|$|;/}),S=[E,C,b,y,e.HASH_COMMENT_MODE,O,v,{begin:"::"},{className:"symbol",begin:":(?![\\s:])",contains:[E,{begin:r}],relevance:0},{className:"symbol",begin:n+":(?!:)",relevance:0},{className:"title.class",begin:/(\b[A-Z][a-zA-Z0-9_]+)/,relevance:0},d,{className:"variable",begin:"(\\$\\W)|((\\$|@@?)(\\w+))"}];return c.contains=S,{name:"Elixir",aliases:["ex","exs"],keywords:u,contains:S}}var gV=fV;function hV(e){const t={variants:[e.COMMENT("--","$"),e.COMMENT(/\{-/,/-\}/,{contains:["self"]})]},n={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},r={begin:"\\(",end:"\\)",illegal:'"',contains:[{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},t]},a={begin:/\{/,end:/\}/,contains:r.contains},l={className:"string",begin:"'\\\\?.",end:"'",illegal:"."};return{name:"Elm",keywords:["let","in","if","then","else","case","of","where","module","import","exposing","type","alias","as","infix","infixl","infixr","port","effect","command","subscription"],contains:[{beginKeywords:"port effect module",end:"exposing",keywords:"port effect module where command subscription exposing",contains:[r,t],illegal:"\\W\\.|;"},{begin:"import",end:"$",keywords:"import as exposing",contains:[r,t],illegal:"\\W\\.|;"},{begin:"type",end:"$",keywords:"type alias",contains:[n,r,a,t]},{beginKeywords:"infix infixl infixr",end:"$",contains:[e.C_NUMBER_MODE,t]},{begin:"port",end:"$",keywords:"port",contains:[t]},l,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,n,e.inherit(e.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),t,{begin:"->|<-"}],illegal:/;/}}var EV=hV;function SV(e){const t=e.regex,n="([a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?)",r=t.either(/\b([A-Z]+[a-z0-9]+)+/,/\b([A-Z]+[a-z0-9]+)+[A-Z]+/),a=t.concat(r,/(::\w+)*/),u={"variable.constant":["__FILE__","__LINE__","__ENCODING__"],"variable.language":["self","super"],keyword:["alias","and","begin","BEGIN","break","case","class","defined","do","else","elsif","end","END","ensure","for","if","in","module","next","not","or","redo","require","rescue","retry","return","then","undef","unless","until","when","while","yield",...["include","extend","prepend","public","private","protected","raise","throw"]],built_in:["proc","lambda","attr_accessor","attr_reader","attr_writer","define_method","private_constant","module_function"],literal:["true","false","nil"]},c={className:"doctag",begin:"@[A-Za-z]+"},d={begin:"#<",end:">"},g=[e.COMMENT("#","$",{contains:[c]}),e.COMMENT("^=begin","^=end",{contains:[c],relevance:10}),e.COMMENT("^__END__",e.MATCH_NOTHING_RE)],f={className:"subst",begin:/#\{/,end:/\}/,keywords:u},h={className:"string",contains:[e.BACKSLASH_ESCAPE,f],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:/%[qQwWx]?\(/,end:/\)/},{begin:/%[qQwWx]?\[/,end:/\]/},{begin:/%[qQwWx]?\{/,end:/\}/},{begin:/%[qQwWx]?/},{begin:/%[qQwWx]?\//,end:/\//},{begin:/%[qQwWx]?%/,end:/%/},{begin:/%[qQwWx]?-/,end:/-/},{begin:/%[qQwWx]?\|/,end:/\|/},{begin:/\B\?(\\\d{1,3})/},{begin:/\B\?(\\x[A-Fa-f0-9]{1,2})/},{begin:/\B\?(\\u\{?[A-Fa-f0-9]{1,6}\}?)/},{begin:/\B\?(\\M-\\C-|\\M-\\c|\\c\\M-|\\M-|\\C-\\M-)[\x20-\x7e]/},{begin:/\B\?\\(c|C-)[\x20-\x7e]/},{begin:/\B\?\\?\S/},{begin:t.concat(/<<[-~]?'?/,t.lookahead(/(\w+)(?=\W)[^\n]*\n(?:[^\n]*\n)*?\s*\1\b/)),contains:[e.END_SAME_AS_BEGIN({begin:/(\w+)/,end:/(\w+)/,contains:[e.BACKSLASH_ESCAPE,f]})]}]},p="[1-9](_?[0-9])*|0",m="[0-9](_?[0-9])*",y={className:"number",relevance:0,variants:[{begin:`\\b(${p})(\\.(${m}))?([eE][+-]?(${m})|r)?i?\\b`},{begin:"\\b0[dD][0-9](_?[0-9])*r?i?\\b"},{begin:"\\b0[bB][0-1](_?[0-1])*r?i?\\b"},{begin:"\\b0[oO][0-7](_?[0-7])*r?i?\\b"},{begin:"\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*r?i?\\b"},{begin:"\\b0(_?[0-7])+r?i?\\b"}]},b={variants:[{match:/\(\)/},{className:"params",begin:/\(/,end:/(?=\))/,excludeBegin:!0,endsParent:!0,keywords:u}]},P=[h,{variants:[{match:[/class\s+/,a,/\s+<\s+/,a]},{match:[/\b(class|module)\s+/,a]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:u},{match:[/(include|extend)\s+/,a],scope:{2:"title.class"},keywords:u},{relevance:0,match:[a,/\.new[. (]/],scope:{1:"title.class"}},{relevance:0,match:/\b[A-Z][A-Z_0-9]+\b/,className:"variable.constant"},{relevance:0,match:r,scope:"title.class"},{match:[/def/,/\s+/,n],scope:{1:"keyword",3:"title.function"},contains:[b]},{begin:e.IDENT_RE+"::"},{className:"symbol",begin:e.UNDERSCORE_IDENT_RE+"(!|\\?)?:",relevance:0},{className:"symbol",begin:":(?!\\s)",contains:[h,{begin:n}],relevance:0},y,{className:"variable",begin:"(\\$\\W)|((\\$|@@?)(\\w+))(?=[^@$?])(?![A-Za-z])(?![@$?'])"},{className:"params",begin:/\|/,end:/\|/,excludeBegin:!0,excludeEnd:!0,relevance:0,keywords:u},{begin:"("+e.RE_STARTERS_RE+"|unless)\\s*",keywords:"unless",contains:[{className:"regexp",contains:[e.BACKSLASH_ESCAPE,f],illegal:/\n/,variants:[{begin:"/",end:"/[a-z]*"},{begin:/%r\{/,end:/\}[a-z]*/},{begin:"%r\\(",end:"\\)[a-z]*"},{begin:"%r!",end:"![a-z]*"},{begin:"%r\\[",end:"\\][a-z]*"}]}].concat(d,g),relevance:0}].concat(d,g);f.contains=P,b.contains=P;const F="[>?]>",G="[\\w#]+\\(\\w+\\):\\d+:\\d+[>*]",z="(\\w+-)?\\d+\\.\\d+\\.\\d+(p\\d+)?[^\\d][^>]+>",k=[{begin:/^\s*=>/,starts:{end:"$",contains:P}},{className:"meta.prompt",begin:"^("+F+"|"+G+"|"+z+")(?=[ ])",starts:{end:"$",keywords:u,contains:P}}];return g.unshift(d),{name:"Ruby",aliases:["rb","gemspec","podspec","thor","irb"],keywords:u,illegal:/\/\*/,contains:[e.SHEBANG({binary:"ruby"})].concat(k).concat(g).concat(P)}}var bV=SV;function vV(e){return{name:"ERB",subLanguage:"xml",contains:[e.COMMENT("<%#","%>"),{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0}]}}var TV=vV;function yV(e){const t=e.regex;return{name:"Erlang REPL",keywords:{built_in:"spawn spawn_link self",keyword:"after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if let not of or orelse|10 query receive rem try when xor"},contains:[{className:"meta.prompt",begin:"^[0-9]+> ",relevance:10},e.COMMENT("%","$"),{className:"number",begin:"\\b(\\d+(_\\d+)*#[a-fA-F0-9]+(_[a-fA-F0-9]+)*|\\d+(_\\d+)*(\\.\\d+(_\\d+)*)?([eE][-+]?\\d+)?)",relevance:0},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:t.concat(/\?(::)?/,/([A-Z]\w*)/,/((::)[A-Z]\w*)*/)},{begin:"->"},{begin:"ok"},{begin:"!"},{begin:"(\\b[a-z'][a-zA-Z0-9_']*:[a-z'][a-zA-Z0-9_']*)|(\\b[a-z'][a-zA-Z0-9_']*)",relevance:0},{begin:"[A-Z][a-zA-Z0-9_']*",relevance:0}]}}var CV=yV;function RV(e){const t="[a-z'][a-zA-Z0-9_']*",n="("+t+":"+t+"|"+t+")",r={keyword:"after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if let not of orelse|10 query receive rem try when xor",literal:"false true"},a=e.COMMENT("%","$"),l={className:"number",begin:"\\b(\\d+(_\\d+)*#[a-fA-F0-9]+(_[a-fA-F0-9]+)*|\\d+(_\\d+)*(\\.\\d+(_\\d+)*)?([eE][-+]?\\d+)?)",relevance:0},u={begin:"fun\\s+"+t+"/\\d+"},c={begin:n+"\\(",end:"\\)",returnBegin:!0,relevance:0,contains:[{begin:n,relevance:0},{begin:"\\(",end:"\\)",endsWithParent:!0,returnEnd:!0,relevance:0}]},d={begin:/\{/,end:/\}/,relevance:0},g={begin:"\\b_([A-Z][A-Za-z0-9_]*)?",relevance:0},f={begin:"[A-Z][a-zA-Z0-9_]*",relevance:0},h={begin:"#"+e.UNDERSCORE_IDENT_RE,relevance:0,returnBegin:!0,contains:[{begin:"#"+e.UNDERSCORE_IDENT_RE,relevance:0},{begin:/\{/,end:/\}/,relevance:0}]},p={beginKeywords:"fun receive if try case",end:"end",keywords:r};p.contains=[a,u,e.inherit(e.APOS_STRING_MODE,{className:""}),p,c,e.QUOTE_STRING_MODE,l,d,g,f,h];const m=[a,u,p,c,e.QUOTE_STRING_MODE,l,d,g,f,h];c.contains[1].contains=m,d.contains=m,h.contains[1].contains=m;const y=["-module","-record","-undef","-export","-ifdef","-ifndef","-author","-copyright","-doc","-vsn","-import","-include","-include_lib","-compile","-define","-else","-endif","-file","-behaviour","-behavior","-spec"],b={className:"params",begin:"\\(",end:"\\)",contains:m};return{name:"Erlang",aliases:["erl"],keywords:r,illegal:"(",returnBegin:!0,illegal:"\\(|#|//|/\\*|\\\\|:|;",contains:[b,e.inherit(e.TITLE_MODE,{begin:t})],starts:{end:";|\\.",keywords:r,contains:m}},a,{begin:"^-",end:"\\.",relevance:0,excludeEnd:!0,returnBegin:!0,keywords:{$pattern:"-"+e.IDENT_RE,keyword:y.map(C=>`${C}|1.5`).join(" ")},contains:[b]},l,e.QUOTE_STRING_MODE,h,g,f,d,{begin:/\.$/}]}}var OV=RV;function NV(e){return{name:"Excel formulae",aliases:["xlsx","xls"],case_insensitive:!0,keywords:{$pattern:/[a-zA-Z][\w\.]*/,built_in:["ABS","ACCRINT","ACCRINTM","ACOS","ACOSH","ACOT","ACOTH","AGGREGATE","ADDRESS","AMORDEGRC","AMORLINC","AND","ARABIC","AREAS","ASC","ASIN","ASINH","ATAN","ATAN2","ATANH","AVEDEV","AVERAGE","AVERAGEA","AVERAGEIF","AVERAGEIFS","BAHTTEXT","BASE","BESSELI","BESSELJ","BESSELK","BESSELY","BETADIST","BETA.DIST","BETAINV","BETA.INV","BIN2DEC","BIN2HEX","BIN2OCT","BINOMDIST","BINOM.DIST","BINOM.DIST.RANGE","BINOM.INV","BITAND","BITLSHIFT","BITOR","BITRSHIFT","BITXOR","CALL","CEILING","CEILING.MATH","CEILING.PRECISE","CELL","CHAR","CHIDIST","CHIINV","CHITEST","CHISQ.DIST","CHISQ.DIST.RT","CHISQ.INV","CHISQ.INV.RT","CHISQ.TEST","CHOOSE","CLEAN","CODE","COLUMN","COLUMNS","COMBIN","COMBINA","COMPLEX","CONCAT","CONCATENATE","CONFIDENCE","CONFIDENCE.NORM","CONFIDENCE.T","CONVERT","CORREL","COS","COSH","COT","COTH","COUNT","COUNTA","COUNTBLANK","COUNTIF","COUNTIFS","COUPDAYBS","COUPDAYS","COUPDAYSNC","COUPNCD","COUPNUM","COUPPCD","COVAR","COVARIANCE.P","COVARIANCE.S","CRITBINOM","CSC","CSCH","CUBEKPIMEMBER","CUBEMEMBER","CUBEMEMBERPROPERTY","CUBERANKEDMEMBER","CUBESET","CUBESETCOUNT","CUBEVALUE","CUMIPMT","CUMPRINC","DATE","DATEDIF","DATEVALUE","DAVERAGE","DAY","DAYS","DAYS360","DB","DBCS","DCOUNT","DCOUNTA","DDB","DEC2BIN","DEC2HEX","DEC2OCT","DECIMAL","DEGREES","DELTA","DEVSQ","DGET","DISC","DMAX","DMIN","DOLLAR","DOLLARDE","DOLLARFR","DPRODUCT","DSTDEV","DSTDEVP","DSUM","DURATION","DVAR","DVARP","EDATE","EFFECT","ENCODEURL","EOMONTH","ERF","ERF.PRECISE","ERFC","ERFC.PRECISE","ERROR.TYPE","EUROCONVERT","EVEN","EXACT","EXP","EXPON.DIST","EXPONDIST","FACT","FACTDOUBLE","FALSE|0","F.DIST","FDIST","F.DIST.RT","FILTERXML","FIND","FINDB","F.INV","F.INV.RT","FINV","FISHER","FISHERINV","FIXED","FLOOR","FLOOR.MATH","FLOOR.PRECISE","FORECAST","FORECAST.ETS","FORECAST.ETS.CONFINT","FORECAST.ETS.SEASONALITY","FORECAST.ETS.STAT","FORECAST.LINEAR","FORMULATEXT","FREQUENCY","F.TEST","FTEST","FV","FVSCHEDULE","GAMMA","GAMMA.DIST","GAMMADIST","GAMMA.INV","GAMMAINV","GAMMALN","GAMMALN.PRECISE","GAUSS","GCD","GEOMEAN","GESTEP","GETPIVOTDATA","GROWTH","HARMEAN","HEX2BIN","HEX2DEC","HEX2OCT","HLOOKUP","HOUR","HYPERLINK","HYPGEOM.DIST","HYPGEOMDIST","IF","IFERROR","IFNA","IFS","IMABS","IMAGINARY","IMARGUMENT","IMCONJUGATE","IMCOS","IMCOSH","IMCOT","IMCSC","IMCSCH","IMDIV","IMEXP","IMLN","IMLOG10","IMLOG2","IMPOWER","IMPRODUCT","IMREAL","IMSEC","IMSECH","IMSIN","IMSINH","IMSQRT","IMSUB","IMSUM","IMTAN","INDEX","INDIRECT","INFO","INT","INTERCEPT","INTRATE","IPMT","IRR","ISBLANK","ISERR","ISERROR","ISEVEN","ISFORMULA","ISLOGICAL","ISNA","ISNONTEXT","ISNUMBER","ISODD","ISREF","ISTEXT","ISO.CEILING","ISOWEEKNUM","ISPMT","JIS","KURT","LARGE","LCM","LEFT","LEFTB","LEN","LENB","LINEST","LN","LOG","LOG10","LOGEST","LOGINV","LOGNORM.DIST","LOGNORMDIST","LOGNORM.INV","LOOKUP","LOWER","MATCH","MAX","MAXA","MAXIFS","MDETERM","MDURATION","MEDIAN","MID","MIDBs","MIN","MINIFS","MINA","MINUTE","MINVERSE","MIRR","MMULT","MOD","MODE","MODE.MULT","MODE.SNGL","MONTH","MROUND","MULTINOMIAL","MUNIT","N","NA","NEGBINOM.DIST","NEGBINOMDIST","NETWORKDAYS","NETWORKDAYS.INTL","NOMINAL","NORM.DIST","NORMDIST","NORMINV","NORM.INV","NORM.S.DIST","NORMSDIST","NORM.S.INV","NORMSINV","NOT","NOW","NPER","NPV","NUMBERVALUE","OCT2BIN","OCT2DEC","OCT2HEX","ODD","ODDFPRICE","ODDFYIELD","ODDLPRICE","ODDLYIELD","OFFSET","OR","PDURATION","PEARSON","PERCENTILE.EXC","PERCENTILE.INC","PERCENTILE","PERCENTRANK.EXC","PERCENTRANK.INC","PERCENTRANK","PERMUT","PERMUTATIONA","PHI","PHONETIC","PI","PMT","POISSON.DIST","POISSON","POWER","PPMT","PRICE","PRICEDISC","PRICEMAT","PROB","PRODUCT","PROPER","PV","QUARTILE","QUARTILE.EXC","QUARTILE.INC","QUOTIENT","RADIANS","RAND","RANDBETWEEN","RANK.AVG","RANK.EQ","RANK","RATE","RECEIVED","REGISTER.ID","REPLACE","REPLACEB","REPT","RIGHT","RIGHTB","ROMAN","ROUND","ROUNDDOWN","ROUNDUP","ROW","ROWS","RRI","RSQ","RTD","SEARCH","SEARCHB","SEC","SECH","SECOND","SERIESSUM","SHEET","SHEETS","SIGN","SIN","SINH","SKEW","SKEW.P","SLN","SLOPE","SMALL","SQL.REQUEST","SQRT","SQRTPI","STANDARDIZE","STDEV","STDEV.P","STDEV.S","STDEVA","STDEVP","STDEVPA","STEYX","SUBSTITUTE","SUBTOTAL","SUM","SUMIF","SUMIFS","SUMPRODUCT","SUMSQ","SUMX2MY2","SUMX2PY2","SUMXMY2","SWITCH","SYD","T","TAN","TANH","TBILLEQ","TBILLPRICE","TBILLYIELD","T.DIST","T.DIST.2T","T.DIST.RT","TDIST","TEXT","TEXTJOIN","TIME","TIMEVALUE","T.INV","T.INV.2T","TINV","TODAY","TRANSPOSE","TREND","TRIM","TRIMMEAN","TRUE|0","TRUNC","T.TEST","TTEST","TYPE","UNICHAR","UNICODE","UPPER","VALUE","VAR","VAR.P","VAR.S","VARA","VARP","VARPA","VDB","VLOOKUP","WEBSERVICE","WEEKDAY","WEEKNUM","WEIBULL","WEIBULL.DIST","WORKDAY","WORKDAY.INTL","XIRR","XNPV","XOR","YEAR","YEARFRAC","YIELD","YIELDDISC","YIELDMAT","Z.TEST","ZTEST"]},contains:[{begin:/^=/,end:/[^=]/,returnEnd:!0,illegal:/=/,relevance:10},{className:"symbol",begin:/\b[A-Z]{1,2}\d+\b/,end:/[^\d]/,excludeEnd:!0,relevance:0},{className:"symbol",begin:/[A-Z]{0,2}\d*:[A-Z]{0,2}\d*/,relevance:0},e.BACKSLASH_ESCAPE,e.QUOTE_STRING_MODE,{className:"number",begin:e.NUMBER_RE+"(%)?",relevance:0},e.COMMENT(/\bN\(/,/\)/,{excludeBegin:!0,excludeEnd:!0,illegal:/\n/})]}}var AV=NV;function IV(e){return{name:"FIX",contains:[{begin:/[^\u2401\u0001]+/,end:/[\u2401\u0001]/,excludeEnd:!0,returnBegin:!0,returnEnd:!1,contains:[{begin:/([^\u2401\u0001=]+)/,end:/=([^\u2401\u0001=]+)/,returnEnd:!0,returnBegin:!1,className:"attr"},{begin:/=/,end:/([\u2401\u0001])/,excludeEnd:!0,excludeBegin:!0,className:"string"}]}],case_insensitive:!0}}var DV=IV;function xV(e){const t={className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},n={className:"string",variants:[{begin:'"',end:'"'}]},a={className:"function",beginKeywords:"def",end:/[:={\[(\n;]/,excludeEnd:!0,contains:[{className:"title",relevance:0,begin:/[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/}]};return{name:"Flix",keywords:{keyword:["case","class","def","else","enum","if","impl","import","in","lat","rel","index","let","match","namespace","switch","type","yield","with"],literal:["true","false"]},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,t,n,a,e.C_NUMBER_MODE]}}var wV=xV;function MV(e){const t=e.regex,n={className:"params",begin:"\\(",end:"\\)"},r={variants:[e.COMMENT("!","$",{relevance:0}),e.COMMENT("^C[ ]","$",{relevance:0}),e.COMMENT("^C$","$",{relevance:0})]},a=/(_[a-z_\d]+)?/,l=/([de][+-]?\d+)?/,u={className:"number",variants:[{begin:t.concat(/\b\d+/,/\.(\d*)/,l,a)},{begin:t.concat(/\b\d+/,l,a)},{begin:t.concat(/\.\d+/,l,a)}],relevance:0},c={className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[e.UNDERSCORE_TITLE_MODE,n]},d={className:"string",relevance:0,variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]};return{name:"Fortran",case_insensitive:!0,aliases:["f90","f95"],keywords:{keyword:["kind","do","concurrent","local","shared","while","private","call","intrinsic","where","elsewhere","type","endtype","endmodule","endselect","endinterface","end","enddo","endif","if","forall","endforall","only","contains","default","return","stop","then","block","endblock","endassociate","public","subroutine|10","function","program",".and.",".or.",".not.",".le.",".eq.",".ge.",".gt.",".lt.","goto","save","else","use","module","select","case","access","blank","direct","exist","file","fmt","form","formatted","iostat","name","named","nextrec","number","opened","rec","recl","sequential","status","unformatted","unit","continue","format","pause","cycle","exit","c_null_char","c_alert","c_backspace","c_form_feed","flush","wait","decimal","round","iomsg","synchronous","nopass","non_overridable","pass","protected","volatile","abstract","extends","import","non_intrinsic","value","deferred","generic","final","enumerator","class","associate","bind","enum","c_int","c_short","c_long","c_long_long","c_signed_char","c_size_t","c_int8_t","c_int16_t","c_int32_t","c_int64_t","c_int_least8_t","c_int_least16_t","c_int_least32_t","c_int_least64_t","c_int_fast8_t","c_int_fast16_t","c_int_fast32_t","c_int_fast64_t","c_intmax_t","C_intptr_t","c_float","c_double","c_long_double","c_float_complex","c_double_complex","c_long_double_complex","c_bool","c_char","c_null_ptr","c_null_funptr","c_new_line","c_carriage_return","c_horizontal_tab","c_vertical_tab","iso_c_binding","c_loc","c_funloc","c_associated","c_f_pointer","c_ptr","c_funptr","iso_fortran_env","character_storage_size","error_unit","file_storage_size","input_unit","iostat_end","iostat_eor","numeric_storage_size","output_unit","c_f_procpointer","ieee_arithmetic","ieee_support_underflow_control","ieee_get_underflow_mode","ieee_set_underflow_mode","newunit","contiguous","recursive","pad","position","action","delim","readwrite","eor","advance","nml","interface","procedure","namelist","include","sequence","elemental","pure","impure","integer","real","character","complex","logical","codimension","dimension","allocatable|10","parameter","external","implicit|10","none","double","precision","assign","intent","optional","pointer","target","in","out","common","equivalence","data"],literal:[".False.",".True."],built_in:["alog","alog10","amax0","amax1","amin0","amin1","amod","cabs","ccos","cexp","clog","csin","csqrt","dabs","dacos","dasin","datan","datan2","dcos","dcosh","ddim","dexp","dint","dlog","dlog10","dmax1","dmin1","dmod","dnint","dsign","dsin","dsinh","dsqrt","dtan","dtanh","float","iabs","idim","idint","idnint","ifix","isign","max0","max1","min0","min1","sngl","algama","cdabs","cdcos","cdexp","cdlog","cdsin","cdsqrt","cqabs","cqcos","cqexp","cqlog","cqsin","cqsqrt","dcmplx","dconjg","derf","derfc","dfloat","dgamma","dimag","dlgama","iqint","qabs","qacos","qasin","qatan","qatan2","qcmplx","qconjg","qcos","qcosh","qdim","qerf","qerfc","qexp","qgamma","qimag","qlgama","qlog","qlog10","qmax1","qmin1","qmod","qnint","qsign","qsin","qsinh","qsqrt","qtan","qtanh","abs","acos","aimag","aint","anint","asin","atan","atan2","char","cmplx","conjg","cos","cosh","exp","ichar","index","int","log","log10","max","min","nint","sign","sin","sinh","sqrt","tan","tanh","print","write","dim","lge","lgt","lle","llt","mod","nullify","allocate","deallocate","adjustl","adjustr","all","allocated","any","associated","bit_size","btest","ceiling","count","cshift","date_and_time","digits","dot_product","eoshift","epsilon","exponent","floor","fraction","huge","iand","ibclr","ibits","ibset","ieor","ior","ishft","ishftc","lbound","len_trim","matmul","maxexponent","maxloc","maxval","merge","minexponent","minloc","minval","modulo","mvbits","nearest","pack","present","product","radix","random_number","random_seed","range","repeat","reshape","rrspacing","scale","scan","selected_int_kind","selected_real_kind","set_exponent","shape","size","spacing","spread","sum","system_clock","tiny","transpose","trim","ubound","unpack","verify","achar","iachar","transfer","dble","entry","dprod","cpu_time","command_argument_count","get_command","get_command_argument","get_environment_variable","is_iostat_end","ieee_arithmetic","ieee_support_underflow_control","ieee_get_underflow_mode","ieee_set_underflow_mode","is_iostat_eor","move_alloc","new_line","selected_char_kind","same_type_as","extends_type_of","acosh","asinh","atanh","bessel_j0","bessel_j1","bessel_jn","bessel_y0","bessel_y1","bessel_yn","erf","erfc","erfc_scaled","gamma","log_gamma","hypot","norm2","atomic_define","atomic_ref","execute_command_line","leadz","trailz","storage_size","merge_bits","bge","bgt","ble","blt","dshiftl","dshiftr","findloc","iall","iany","iparity","image_index","lcobound","ucobound","maskl","maskr","num_images","parity","popcnt","poppar","shifta","shiftl","shiftr","this_image","sync","change","team","co_broadcast","co_max","co_min","co_sum","co_reduce"]},illegal:/\/\*/,contains:[d,c,{begin:/^C\s*=(?!=)/,relevance:0},r,u]}}var LV=MV;function kV(e){return new RegExp(e.replace(/[-/\\^$*+?.()|[\]{}]/g,"\\$&"),"m")}function ac(e){return e?typeof e=="string"?e:e.source:null}function Sr(e){return Bt("(?=",e,")")}function Bt(...e){return e.map(n=>ac(n)).join("")}function PV(e){const t=e[e.length-1];return typeof t=="object"&&t.constructor===Object?(e.splice(e.length-1,1),t):{}}function Tn(...e){const t=PV(e);return"("+(t.capture?"":"?:")+e.map(r=>ac(r)).join("|")+")"}function BV(e){const t=["abstract","and","as","assert","base","begin","class","default","delegate","do","done","downcast","downto","elif","else","end","exception","extern","finally","fixed","for","fun","function","global","if","in","inherit","inline","interface","internal","lazy","let","match","member","module","mutable","namespace","new","of","open","or","override","private","public","rec","return","static","struct","then","to","try","type","upcast","use","val","void","when","while","with","yield"],n={scope:"keyword",match:/\b(yield|return|let|do|match|use)!/},r=["if","else","endif","line","nowarn","light","r","i","I","load","time","help","quit"],a=["true","false","null","Some","None","Ok","Error","infinity","infinityf","nan","nanf"],l=["__LINE__","__SOURCE_DIRECTORY__","__SOURCE_FILE__"],u=["bool","byte","sbyte","int8","int16","int32","uint8","uint16","uint32","int","uint","int64","uint64","nativeint","unativeint","decimal","float","double","float32","single","char","string","unit","bigint","option","voption","list","array","seq","byref","exn","inref","nativeptr","obj","outref","voidptr","Result"],d={keyword:t,literal:a,built_in:["not","ref","raise","reraise","dict","readOnlyDict","set","get","enum","sizeof","typeof","typedefof","nameof","nullArg","invalidArg","invalidOp","id","fst","snd","ignore","lock","using","box","unbox","tryUnbox","printf","printfn","sprintf","eprintf","eprintfn","fprintf","fprintfn","failwith","failwithf"],"variable.constant":l},f={variants:[e.COMMENT(/\(\*(?!\))/,/\*\)/,{contains:["self"]}),e.C_LINE_COMMENT_MODE]},h=/[a-zA-Z_](\w|')*/,p={scope:"variable",begin:/``/,end:/``/},m=/\B('|\^)/,y={scope:"symbol",variants:[{match:Bt(m,/``.*?``/)},{match:Bt(m,e.UNDERSCORE_IDENT_RE)}],relevance:0},b=function({includeEqual:re}){let Z;re?Z="!%&*+-/<=>@^|~?":Z="!%&*+-/<>@^|~?";const j=Array.from(Z),U=Bt("[",...j.map(kV),"]"),Q=Tn(U,/\./),X=Bt(Q,Sr(Q)),le=Tn(Bt(X,Q,"*"),Bt(U,"+"));return{scope:"operator",match:Tn(le,/:\?>/,/:\?/,/:>/,/:=/,/::?/,/\$/),relevance:0}},C=b({includeEqual:!0}),E=b({includeEqual:!1}),v=function(re,Z){return{begin:Bt(re,Sr(Bt(/\s*/,Tn(/\w/,/'/,/\^/,/#/,/``/,/\(/,/{\|/)))),beginScope:Z,end:Sr(Tn(/\n/,/=/)),relevance:0,keywords:e.inherit(d,{type:u}),contains:[f,y,e.inherit(p,{scope:null}),E]}},O=v(/:/,"operator"),S=v(/\bof\b/,"keyword"),A={begin:[/(^|\s+)/,/type/,/\s+/,h],beginScope:{2:"keyword",4:"title.class"},end:Sr(/\(|=|$/),keywords:d,contains:[f,e.inherit(p,{scope:null}),y,{scope:"operator",match:/<|>/},O]},P={scope:"computation-expression",match:/\b[_a-z]\w*(?=\s*\{)/},F={begin:[/^\s*/,Bt(/#/,Tn(...r)),/\b/],beginScope:{2:"meta"},end:Sr(/\s|$/)},G={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]},z={scope:"string",begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE]},k={scope:"string",begin:/@"/,end:/"/,contains:[{match:/""/},e.BACKSLASH_ESCAPE]},L={scope:"string",begin:/"""/,end:/"""/,relevance:2},w={scope:"subst",begin:/\{/,end:/\}/,keywords:d},M={scope:"string",begin:/\$"/,end:/"/,contains:[{match:/\{\{/},{match:/\}\}/},e.BACKSLASH_ESCAPE,w]},x={scope:"string",begin:/(\$@|@\$)"/,end:/"/,contains:[{match:/\{\{/},{match:/\}\}/},{match:/""/},e.BACKSLASH_ESCAPE,w]},Y={scope:"string",begin:/\$"""/,end:/"""/,contains:[{match:/\{\{/},{match:/\}\}/},w],relevance:2},J={scope:"string",match:Bt(/'/,Tn(/[^\\']/,/\\(?:.|\d{3}|x[a-fA-F\d]{2}|u[a-fA-F\d]{4}|U[a-fA-F\d]{8})/),/'/)};return w.contains=[x,M,k,z,J,n,f,p,O,P,F,G,y,C],{name:"F#",aliases:["fs","f#"],keywords:d,illegal:/\/\*/,classNameAliases:{"computation-expression":"keyword"},contains:[n,{variants:[Y,x,M,L,k,z,J]},f,p,A,{scope:"meta",begin:/\[\]/,relevance:2,contains:[p,L,k,z,J,G]},S,O,P,F,G,y,C]}}var FV=BV;function UV(e){const t=e.regex,n={keyword:"abort acronym acronyms alias all and assign binary card diag display else eq file files for free ge gt if integer le loop lt maximizing minimizing model models ne negative no not option options or ord positive prod put putpage puttl repeat sameas semicont semiint smax smin solve sos1 sos2 sum system table then until using while xor yes",literal:"eps inf na",built_in:"abs arccos arcsin arctan arctan2 Beta betaReg binomial ceil centropy cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact floor frac gamma gammaReg log logBeta logGamma log10 log2 mapVal max min mod ncpCM ncpF ncpVUpow ncpVUsin normal pi poly power randBinomial randLinear randTriangle round rPower sigmoid sign signPower sin sinh slexp sllog10 slrec sqexp sqlog10 sqr sqrec sqrt tan tanh trunc uniform uniformInt vcPower bool_and bool_eqv bool_imp bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt rel_ne gday gdow ghour gleap gmillisec gminute gmonth gsecond gyear jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion handleCollect handleDelete handleStatus handleSubmit heapFree heapLimit heapSize jobHandle jobKill jobStatus jobTerminate licenseLevel licenseStatus maxExecError sleep timeClose timeComp timeElapsed timeExec timeStart"},r={className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0},a={className:"symbol",variants:[{begin:/=[lgenxc]=/},{begin:/\$/}]},l={className:"comment",variants:[{begin:"'",end:"'"},{begin:'"',end:'"'}],illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},u={begin:"/",end:"/",keywords:n,contains:[l,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE]},c=/[a-z0-9&#*=?@\\><:,()$[\]_.{}!+%^-]+/,d={begin:/[a-z][a-z0-9_]*(\([a-z0-9_, ]*\))?[ \t]+/,excludeBegin:!0,end:"$",endsWithParent:!0,contains:[l,u,{className:"comment",begin:t.concat(c,t.anyNumberOfTimes(t.concat(/[ ]+/,c))),relevance:0}]};return{name:"GAMS",aliases:["gms"],case_insensitive:!0,keywords:n,contains:[e.COMMENT(/^\$ontext/,/^\$offtext/),{className:"meta",begin:"^\\$[a-z0-9]+",end:"$",returnBegin:!0,contains:[{className:"keyword",begin:"^\\$[a-z0-9]+"}]},e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{beginKeywords:"set sets parameter parameters variable variables scalar scalars equation equations",end:";",contains:[e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,u,d]},{beginKeywords:"table",end:";",returnBegin:!0,contains:[{beginKeywords:"table",end:"$",contains:[d]},e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE]},{className:"function",begin:/^[a-z][a-z0-9_,\-+' ()$]+\.{2}/,returnBegin:!0,contains:[{className:"title",begin:/^[a-z0-9_]+/},r,a]},e.C_NUMBER_MODE,a]}}var GV=UV;function qV(e){const t={keyword:"bool break call callexe checkinterrupt clear clearg closeall cls comlog compile continue create debug declare delete disable dlibrary dllcall do dos ed edit else elseif enable end endfor endif endp endo errorlog errorlogat expr external fn for format goto gosub graph if keyword let lib library line load loadarray loadexe loadf loadk loadm loadp loads loadx local locate loopnextindex lprint lpwidth lshow matrix msym ndpclex new open output outwidth plot plotsym pop prcsn print printdos proc push retp return rndcon rndmod rndmult rndseed run save saveall screen scroll setarray show sparse stop string struct system trace trap threadfor threadendfor threadbegin threadjoin threadstat threadend until use while winprint ne ge le gt lt and xor or not eq eqv",built_in:"abs acf aconcat aeye amax amean AmericanBinomCall AmericanBinomCall_Greeks AmericanBinomCall_ImpVol AmericanBinomPut AmericanBinomPut_Greeks AmericanBinomPut_ImpVol AmericanBSCall AmericanBSCall_Greeks AmericanBSCall_ImpVol AmericanBSPut AmericanBSPut_Greeks AmericanBSPut_ImpVol amin amult annotationGetDefaults annotationSetBkd annotationSetFont annotationSetLineColor annotationSetLineStyle annotationSetLineThickness annualTradingDays arccos arcsin areshape arrayalloc arrayindex arrayinit arraytomat asciiload asclabel astd astds asum atan atan2 atranspose axmargin balance band bandchol bandcholsol bandltsol bandrv bandsolpd bar base10 begwind besselj bessely beta box boxcox cdfBeta cdfBetaInv cdfBinomial cdfBinomialInv cdfBvn cdfBvn2 cdfBvn2e cdfCauchy cdfCauchyInv cdfChic cdfChii cdfChinc cdfChincInv cdfExp cdfExpInv cdfFc cdfFnc cdfFncInv cdfGam cdfGenPareto cdfHyperGeo cdfLaplace cdfLaplaceInv cdfLogistic cdfLogisticInv cdfmControlCreate cdfMvn cdfMvn2e cdfMvnce cdfMvne cdfMvt2e cdfMvtce cdfMvte cdfN cdfN2 cdfNc cdfNegBinomial cdfNegBinomialInv cdfNi cdfPoisson cdfPoissonInv cdfRayleigh cdfRayleighInv cdfTc cdfTci cdfTnc cdfTvn cdfWeibull cdfWeibullInv cdir ceil ChangeDir chdir chiBarSquare chol choldn cholsol cholup chrs close code cols colsf combinate combinated complex con cond conj cons ConScore contour conv convertsatostr convertstrtosa corrm corrms corrvc corrx corrxs cos cosh counts countwts crossprd crout croutp csrcol csrlin csvReadM csvReadSA cumprodc cumsumc curve cvtos datacreate datacreatecomplex datalist dataload dataloop dataopen datasave date datestr datestring datestrymd dayinyr dayofweek dbAddDatabase dbClose dbCommit dbCreateQuery dbExecQuery dbGetConnectOptions dbGetDatabaseName dbGetDriverName dbGetDrivers dbGetHostName dbGetLastErrorNum dbGetLastErrorText dbGetNumericalPrecPolicy dbGetPassword dbGetPort dbGetTableHeaders dbGetTables dbGetUserName dbHasFeature dbIsDriverAvailable dbIsOpen dbIsOpenError dbOpen dbQueryBindValue dbQueryClear dbQueryCols dbQueryExecPrepared dbQueryFetchAllM dbQueryFetchAllSA dbQueryFetchOneM dbQueryFetchOneSA dbQueryFinish dbQueryGetBoundValue dbQueryGetBoundValues dbQueryGetField dbQueryGetLastErrorNum dbQueryGetLastErrorText dbQueryGetLastInsertID dbQueryGetLastQuery dbQueryGetPosition dbQueryIsActive dbQueryIsForwardOnly dbQueryIsNull dbQueryIsSelect dbQueryIsValid dbQueryPrepare dbQueryRows dbQuerySeek dbQuerySeekFirst dbQuerySeekLast dbQuerySeekNext dbQuerySeekPrevious dbQuerySetForwardOnly dbRemoveDatabase dbRollback dbSetConnectOptions dbSetDatabaseName dbSetHostName dbSetNumericalPrecPolicy dbSetPort dbSetUserName dbTransaction DeleteFile delif delrows denseToSp denseToSpRE denToZero design det detl dfft dffti diag diagrv digamma doswin DOSWinCloseall DOSWinOpen dotfeq dotfeqmt dotfge dotfgemt dotfgt dotfgtmt dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday dttime dttodtv dttostr dttoutc dtvnormal dtvtodt dtvtoutc dummy dummybr dummydn eig eigh eighv eigv elapsedTradingDays endwind envget eof eqSolve eqSolvemt eqSolvemtControlCreate eqSolvemtOutCreate eqSolveset erf erfc erfccplx erfcplx error etdays ethsec etstr EuropeanBinomCall EuropeanBinomCall_Greeks EuropeanBinomCall_ImpVol EuropeanBinomPut EuropeanBinomPut_Greeks EuropeanBinomPut_ImpVol EuropeanBSCall EuropeanBSCall_Greeks EuropeanBSCall_ImpVol EuropeanBSPut EuropeanBSPut_Greeks EuropeanBSPut_ImpVol exctsmpl exec execbg exp extern eye fcheckerr fclearerr feq feqmt fflush fft ffti fftm fftmi fftn fge fgemt fgets fgetsa fgetsat fgetst fgt fgtmt fileinfo filesa fle flemt floor flt fltmt fmod fne fnemt fonts fopen formatcv formatnv fputs fputst fseek fstrerror ftell ftocv ftos ftostrC gamma gammacplx gammaii gausset gdaAppend gdaCreate gdaDStat gdaDStatMat gdaGetIndex gdaGetName gdaGetNames gdaGetOrders gdaGetType gdaGetTypes gdaGetVarInfo gdaIsCplx gdaLoad gdaPack gdaRead gdaReadByIndex gdaReadSome gdaReadSparse gdaReadStruct gdaReportVarInfo gdaSave gdaUpdate gdaUpdateAndPack gdaVars gdaWrite gdaWrite32 gdaWriteSome getarray getdims getf getGAUSShome getmatrix getmatrix4D getname getnamef getNextTradingDay getNextWeekDay getnr getorders getpath getPreviousTradingDay getPreviousWeekDay getRow getscalar3D getscalar4D getTrRow getwind glm gradcplx gradMT gradMTm gradMTT gradMTTm gradp graphprt graphset hasimag header headermt hess hessMT hessMTg hessMTgw hessMTm hessMTmw hessMTT hessMTTg hessMTTgw hessMTTm hessMTw hessp hist histf histp hsec imag indcv indexcat indices indices2 indicesf indicesfn indnv indsav integrate1d integrateControlCreate intgrat2 intgrat3 inthp1 inthp2 inthp3 inthp4 inthpControlCreate intquad1 intquad2 intquad3 intrleav intrleavsa intrsect intsimp inv invpd invswp iscplx iscplxf isden isinfnanmiss ismiss key keyav keyw lag lag1 lagn lapEighb lapEighi lapEighvb lapEighvi lapgEig lapgEigh lapgEighv lapgEigv lapgSchur lapgSvdcst lapgSvds lapgSvdst lapSvdcusv lapSvds lapSvdusv ldlp ldlsol linSolve listwise ln lncdfbvn lncdfbvn2 lncdfmvn lncdfn lncdfn2 lncdfnc lnfact lngammacplx lnpdfmvn lnpdfmvt lnpdfn lnpdft loadd loadstruct loadwind loess loessmt loessmtControlCreate log loglog logx logy lower lowmat lowmat1 ltrisol lu lusol machEpsilon make makevars makewind margin matalloc matinit mattoarray maxbytes maxc maxindc maxv maxvec mbesselei mbesselei0 mbesselei1 mbesseli mbesseli0 mbesseli1 meanc median mergeby mergevar minc minindc minv miss missex missrv moment momentd movingave movingaveExpwgt movingaveWgt nextindex nextn nextnevn nextwind ntos null null1 numCombinations ols olsmt olsmtControlCreate olsqr olsqr2 olsqrmt ones optn optnevn orth outtyp pacf packedToSp packr parse pause pdfCauchy pdfChi pdfExp pdfGenPareto pdfHyperGeo pdfLaplace pdfLogistic pdfn pdfPoisson pdfRayleigh pdfWeibull pi pinv pinvmt plotAddArrow plotAddBar plotAddBox plotAddHist plotAddHistF plotAddHistP plotAddPolar plotAddScatter plotAddShape plotAddTextbox plotAddTS plotAddXY plotArea plotBar plotBox plotClearLayout plotContour plotCustomLayout plotGetDefaults plotHist plotHistF plotHistP plotLayout plotLogLog plotLogX plotLogY plotOpenWindow plotPolar plotSave plotScatter plotSetAxesPen plotSetBar plotSetBarFill plotSetBarStacked plotSetBkdColor plotSetFill plotSetGrid plotSetLegend plotSetLineColor plotSetLineStyle plotSetLineSymbol plotSetLineThickness plotSetNewWindow plotSetTitle plotSetWhichYAxis plotSetXAxisShow plotSetXLabel plotSetXRange plotSetXTicInterval plotSetXTicLabel plotSetYAxisShow plotSetYLabel plotSetYRange plotSetZAxisShow plotSetZLabel plotSurface plotTS plotXY polar polychar polyeval polygamma polyint polymake polymat polymroot polymult polyroot pqgwin previousindex princomp printfm printfmt prodc psi putarray putf putvals pvCreate pvGetIndex pvGetParNames pvGetParVector pvLength pvList pvPack pvPacki pvPackm pvPackmi pvPacks pvPacksi pvPacksm pvPacksmi pvPutParVector pvTest pvUnpack QNewton QNewtonmt QNewtonmtControlCreate QNewtonmtOutCreate QNewtonSet QProg QProgmt QProgmtInCreate qqr qqre qqrep qr qre qrep qrsol qrtsol qtyr qtyre qtyrep quantile quantiled qyr qyre qyrep qz rank rankindx readr real reclassify reclassifyCuts recode recserar recsercp recserrc rerun rescale reshape rets rev rfft rffti rfftip rfftn rfftnp rfftp rndBernoulli rndBeta rndBinomial rndCauchy rndChiSquare rndCon rndCreateState rndExp rndGamma rndGeo rndGumbel rndHyperGeo rndi rndKMbeta rndKMgam rndKMi rndKMn rndKMnb rndKMp rndKMu rndKMvm rndLaplace rndLCbeta rndLCgam rndLCi rndLCn rndLCnb rndLCp rndLCu rndLCvm rndLogNorm rndMTu rndMVn rndMVt rndn rndnb rndNegBinomial rndp rndPoisson rndRayleigh rndStateSkip rndu rndvm rndWeibull rndWishart rotater round rows rowsf rref sampleData satostrC saved saveStruct savewind scale scale3d scalerr scalinfnanmiss scalmiss schtoc schur searchsourcepath seekr select selif seqa seqm setdif setdifsa setvars setvwrmode setwind shell shiftr sin singleindex sinh sleep solpd sortc sortcc sortd sorthc sorthcc sortind sortindc sortmc sortr sortrc spBiconjGradSol spChol spConjGradSol spCreate spDenseSubmat spDiagRvMat spEigv spEye spLDL spline spLU spNumNZE spOnes spreadSheetReadM spreadSheetReadSA spreadSheetWrite spScale spSubmat spToDense spTrTDense spTScalar spZeros sqpSolve sqpSolveMT sqpSolveMTControlCreate sqpSolveMTlagrangeCreate sqpSolveMToutCreate sqpSolveSet sqrt statements stdc stdsc stocv stof strcombine strindx strlen strput strrindx strsect strsplit strsplitPad strtodt strtof strtofcplx strtriml strtrimr strtrunc strtruncl strtruncpad strtruncr submat subscat substute subvec sumc sumr surface svd svd1 svd2 svdcusv svds svdusv sysstate tab tan tanh tempname time timedt timestr timeutc title tkf2eps tkf2ps tocart todaydt toeplitz token topolar trapchk trigamma trimr trunc type typecv typef union unionsa uniqindx uniqindxsa unique uniquesa upmat upmat1 upper utctodt utctodtv utrisol vals varCovMS varCovXS varget vargetl varmall varmares varput varputl vartypef vcm vcms vcx vcxs vec vech vecr vector vget view viewxyz vlist vnamecv volume vput vread vtypecv wait waitc walkindex where window writer xlabel xlsGetSheetCount xlsGetSheetSize xlsGetSheetTypes xlsMakeRange xlsReadM xlsReadSA xlsWrite xlsWriteM xlsWriteSA xpnd xtics xy xyz ylabel ytics zeros zeta zlabel ztics cdfEmpirical dot h5create h5open h5read h5readAttribute h5write h5writeAttribute ldl plotAddErrorBar plotAddSurface plotCDFEmpirical plotSetColormap plotSetContourLabels plotSetLegendFont plotSetTextInterpreter plotSetXTicCount plotSetYTicCount plotSetZLevels powerm strjoin sylvester strtrim",literal:"DB_AFTER_LAST_ROW DB_ALL_TABLES DB_BATCH_OPERATIONS DB_BEFORE_FIRST_ROW DB_BLOB DB_EVENT_NOTIFICATIONS DB_FINISH_QUERY DB_HIGH_PRECISION DB_LAST_INSERT_ID DB_LOW_PRECISION_DOUBLE DB_LOW_PRECISION_INT32 DB_LOW_PRECISION_INT64 DB_LOW_PRECISION_NUMBERS DB_MULTIPLE_RESULT_SETS DB_NAMED_PLACEHOLDERS DB_POSITIONAL_PLACEHOLDERS DB_PREPARED_QUERIES DB_QUERY_SIZE DB_SIMPLE_LOCKING DB_SYSTEM_TABLES DB_TABLES DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR"},n=e.COMMENT("@","@"),r={className:"meta",begin:"#",end:"$",keywords:{keyword:"define definecs|10 undef ifdef ifndef iflight ifdllcall ifmac ifos2win ifunix else endif lineson linesoff srcfile srcline"},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",keywords:{keyword:"include"},contains:[{className:"string",begin:'"',end:'"',illegal:"\\n"}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n]},a={begin:/\bstruct\s+/,end:/\s/,keywords:"struct",contains:[{className:"type",begin:e.UNDERSCORE_IDENT_RE,relevance:0}]},l=[{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,endsWithParent:!0,relevance:0,contains:[{className:"literal",begin:/\.\.\./},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,n,a]}],u={className:"title",begin:e.UNDERSCORE_IDENT_RE,relevance:0},c=function(p,m,y){const b=e.inherit({className:"function",beginKeywords:p,end:m,excludeEnd:!0,contains:[].concat(l)},y||{});return b.contains.push(u),b.contains.push(e.C_NUMBER_MODE),b.contains.push(e.C_BLOCK_COMMENT_MODE),b.contains.push(n),b},d={className:"built_in",begin:"\\b("+t.built_in.split(" ").join("|")+")\\b"},g={className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE],relevance:0},f={begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,keywords:t,relevance:0,contains:[{beginKeywords:t.keyword},d,{className:"built_in",begin:e.UNDERSCORE_IDENT_RE,relevance:0}]},h={begin:/\(/,end:/\)/,relevance:0,keywords:{built_in:t.built_in,literal:t.literal},contains:[e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,n,d,f,g,"self"]};return f.contains.push(h),{name:"GAUSS",aliases:["gss"],case_insensitive:!0,keywords:t,illegal:/(\{[%#]|[%#]\}| <- )/,contains:[e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n,g,r,{className:"keyword",begin:/\bexternal (matrix|string|array|sparse matrix|struct|proc|keyword|fn)/},c("proc keyword",";"),c("fn","="),{beginKeywords:"for threadfor",end:/;/,relevance:0,contains:[e.C_BLOCK_COMMENT_MODE,n,h]},{variants:[{begin:e.UNDERSCORE_IDENT_RE+"\\."+e.UNDERSCORE_IDENT_RE},{begin:e.UNDERSCORE_IDENT_RE+"\\s*="}],relevance:0},f,a]}}var YV=qV;function zV(e){const t="[A-Z_][A-Z0-9_.]*",n="%",r={$pattern:t,keyword:"IF DO WHILE ENDWHILE CALL ENDIF SUB ENDSUB GOTO REPEAT ENDREPEAT EQ LT GT NE GE LE OR XOR"},a={className:"meta",begin:"([O])([0-9]+)"},l=e.inherit(e.C_NUMBER_MODE,{begin:"([-+]?((\\.\\d+)|(\\d+)(\\.\\d*)?))|"+e.C_NUMBER_RE}),u=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(/\(/,/\)/),l,e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"name",begin:"([G])([0-9]+\\.?[0-9]?)"},{className:"name",begin:"([M])([0-9]+\\.?[0-9]?)"},{className:"attr",begin:"(VC|VS|#)",end:"(\\d+)"},{className:"attr",begin:"(VZOFX|VZOFY|VZOFZ)"},{className:"built_in",begin:"(ATAN|ABS|ACOS|ASIN|SIN|COS|EXP|FIX|FUP|ROUND|LN|TAN)(\\[)",contains:[l],end:"\\]"},{className:"symbol",variants:[{begin:"N",end:"\\d+",illegal:"\\W"}]}];return{name:"G-code (ISO 6983)",aliases:["nc"],case_insensitive:!0,keywords:r,contains:[{className:"meta",begin:n},a].concat(u)}}var $V=zV;function HV(e){return{name:"Gherkin",aliases:["feature"],keywords:"Feature Background Ability Business Need Scenario Scenarios Scenario Outline Scenario Template Examples Given And Then But When",contains:[{className:"symbol",begin:"\\*",relevance:0},{className:"meta",begin:"@[^@\\s]+"},{begin:"\\|",end:"\\|\\w*$",contains:[{className:"string",begin:"[^|]+"}]},{className:"variable",begin:"<",end:">"},e.HASH_COMMENT_MODE,{className:"string",begin:'"""',end:'"""'},e.QUOTE_STRING_MODE]}}var VV=HV;function WV(e){return{name:"GLSL",keywords:{keyword:"break continue discard do else for if return while switch case default attribute binding buffer ccw centroid centroid varying coherent column_major const cw depth_any depth_greater depth_less depth_unchanged early_fragment_tests equal_spacing flat fractional_even_spacing fractional_odd_spacing highp in index inout invariant invocations isolines layout line_strip lines lines_adjacency local_size_x local_size_y local_size_z location lowp max_vertices mediump noperspective offset origin_upper_left out packed patch pixel_center_integer point_mode points precise precision quads r11f_g11f_b10f r16 r16_snorm r16f r16i r16ui r32f r32i r32ui r8 r8_snorm r8i r8ui readonly restrict rg16 rg16_snorm rg16f rg16i rg16ui rg32f rg32i rg32ui rg8 rg8_snorm rg8i rg8ui rgb10_a2 rgb10_a2ui rgba16 rgba16_snorm rgba16f rgba16i rgba16ui rgba32f rgba32i rgba32ui rgba8 rgba8_snorm rgba8i rgba8ui row_major sample shared smooth std140 std430 stream triangle_strip triangles triangles_adjacency uniform varying vertices volatile writeonly",type:"atomic_uint bool bvec2 bvec3 bvec4 dmat2 dmat2x2 dmat2x3 dmat2x4 dmat3 dmat3x2 dmat3x3 dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 double dvec2 dvec3 dvec4 float iimage1D iimage1DArray iimage2D iimage2DArray iimage2DMS iimage2DMSArray iimage2DRect iimage3D iimageBuffer iimageCube iimageCubeArray image1D image1DArray image2D image2DArray image2DMS image2DMSArray image2DRect image3D imageBuffer imageCube imageCubeArray int isampler1D isampler1DArray isampler2D isampler2DArray isampler2DMS isampler2DMSArray isampler2DRect isampler3D isamplerBuffer isamplerCube isamplerCubeArray ivec2 ivec3 ivec4 mat2 mat2x2 mat2x3 mat2x4 mat3 mat3x2 mat3x3 mat3x4 mat4 mat4x2 mat4x3 mat4x4 sampler1D sampler1DArray sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow sampler2DMS sampler2DMSArray sampler2DRect sampler2DRectShadow sampler2DShadow sampler3D samplerBuffer samplerCube samplerCubeArray samplerCubeArrayShadow samplerCubeShadow image1D uimage1DArray uimage2D uimage2DArray uimage2DMS uimage2DMSArray uimage2DRect uimage3D uimageBuffer uimageCube uimageCubeArray uint usampler1D usampler1DArray usampler2D usampler2DArray usampler2DMS usampler2DMSArray usampler2DRect usampler3D samplerBuffer usamplerCube usamplerCubeArray uvec2 uvec3 uvec4 vec2 vec3 vec4 void",built_in:"gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes gl_MaxCombinedAtomicCounterBuffers gl_MaxCombinedAtomicCounters gl_MaxCombinedImageUniforms gl_MaxCombinedImageUnitsAndFragmentOutputs gl_MaxCombinedTextureImageUnits gl_MaxComputeAtomicCounterBuffers gl_MaxComputeAtomicCounters gl_MaxComputeImageUniforms gl_MaxComputeTextureImageUnits gl_MaxComputeUniformComponents gl_MaxComputeWorkGroupCount gl_MaxComputeWorkGroupSize gl_MaxDrawBuffers gl_MaxFragmentAtomicCounterBuffers gl_MaxFragmentAtomicCounters gl_MaxFragmentImageUniforms gl_MaxFragmentInputComponents gl_MaxFragmentInputVectors gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents gl_MaxGeometryOutputComponents gl_MaxGeometryOutputVertices gl_MaxGeometryTextureImageUnits gl_MaxGeometryTotalOutputComponents gl_MaxGeometryUniformComponents gl_MaxGeometryVaryingComponents gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset gl_MaxTessControlAtomicCounterBuffers gl_MaxTessControlAtomicCounters gl_MaxTessControlImageUniforms gl_MaxTessControlInputComponents gl_MaxTessControlOutputComponents gl_MaxTessControlTextureImageUnits gl_MaxTessControlTotalOutputComponents gl_MaxTessControlUniformComponents gl_MaxTessEvaluationAtomicCounterBuffers gl_MaxTessEvaluationAtomicCounters gl_MaxTessEvaluationImageUniforms gl_MaxTessEvaluationInputComponents gl_MaxTessEvaluationOutputComponents gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors gl_MaxVertexAtomicCounterBuffers gl_MaxVertexAtomicCounters gl_MaxVertexAttribs gl_MaxVertexImageUniforms gl_MaxVertexOutputComponents gl_MaxVertexOutputVectors gl_MaxVertexTextureImageUnits gl_MaxVertexUniformComponents gl_MaxVertexUniformVectors gl_MaxViewports gl_MinProgramTexelOffset gl_BackColor gl_BackLightModelProduct gl_BackLightProduct gl_BackMaterial gl_BackSecondaryColor gl_ClipDistance gl_ClipPlane gl_ClipVertex gl_Color gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord gl_FogFragCoord gl_FragColor gl_FragCoord gl_FragData gl_FragDepth gl_FrontColor gl_FrontFacing gl_FrontLightModelProduct gl_FrontLightProduct gl_FrontMaterial gl_FrontSecondaryColor gl_GlobalInvocationID gl_InstanceID gl_InvocationID gl_Layer gl_LightModel gl_LightSource gl_LocalInvocationID gl_LocalInvocationIndex gl_ModelViewMatrix gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose gl_ModelViewProjectionMatrix gl_ModelViewProjectionMatrixInverse gl_ModelViewProjectionMatrixInverseTranspose gl_ModelViewProjectionMatrixTranspose gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 gl_Normal gl_NormalMatrix gl_NormalScale gl_NumSamples gl_NumWorkGroups gl_ObjectPlaneQ gl_ObjectPlaneR gl_ObjectPlaneS gl_ObjectPlaneT gl_PatchVerticesIn gl_Point gl_PointCoord gl_PointSize gl_Position gl_PrimitiveID gl_PrimitiveIDIn gl_ProjectionMatrix gl_ProjectionMatrixInverse gl_ProjectionMatrixInverseTranspose gl_ProjectionMatrixTranspose gl_SampleID gl_SampleMask gl_SampleMaskIn gl_SamplePosition gl_SecondaryColor gl_TessCoord gl_TessLevelInner gl_TessLevelOuter gl_TexCoord gl_TextureEnvColor gl_TextureMatrix gl_TextureMatrixInverse gl_TextureMatrixInverseTranspose gl_TextureMatrixTranspose gl_Vertex gl_VertexID gl_ViewportIndex gl_WorkGroupID gl_WorkGroupSize gl_in gl_out EmitStreamVertex EmitVertex EndPrimitive EndStreamPrimitive abs acos acosh all any asin asinh atan atanh atomicAdd atomicAnd atomicCompSwap atomicCounter atomicCounterDecrement atomicCounterIncrement atomicExchange atomicMax atomicMin atomicOr atomicXor barrier bitCount bitfieldExtract bitfieldInsert bitfieldReverse ceil clamp cos cosh cross dFdx dFdy degrees determinant distance dot equal exp exp2 faceforward findLSB findMSB floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan greaterThanEqual groupMemoryBarrier imageAtomicAdd imageAtomicAnd imageAtomicCompSwap imageAtomicExchange imageAtomicMax imageAtomicMin imageAtomicOr imageAtomicXor imageLoad imageSize imageStore imulExtended intBitsToFloat interpolateAtCentroid interpolateAtOffset interpolateAtSample inverse inversesqrt isinf isnan ldexp length lessThan lessThanEqual log log2 matrixCompMult max memoryBarrier memoryBarrierAtomicCounter memoryBarrierBuffer memoryBarrierImage memoryBarrierShared min mix mod modf noise1 noise2 noise3 noise4 normalize not notEqual outerProduct packDouble2x32 packHalf2x16 packSnorm2x16 packSnorm4x8 packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod shadow1DProj shadow1DProjLod shadow2D shadow2DLod shadow2DProj shadow2DProjLod sign sin sinh smoothstep sqrt step tan tanh texelFetch texelFetchOffset texture texture1D texture1DLod texture1DProj texture1DProjLod texture2D texture2DLod texture2DProj texture2DProjLod texture3D texture3DLod texture3DProj texture3DProjLod textureCube textureCubeLod textureGather textureGatherOffset textureGatherOffsets textureGrad textureGradOffset textureLod textureLodOffset textureOffset textureProj textureProjGrad textureProjGradOffset textureProjLod textureProjLodOffset textureProjOffset textureQueryLevels textureQueryLod textureSize transpose trunc uaddCarry uintBitsToFloat umulExtended unpackDouble2x32 unpackHalf2x16 unpackSnorm2x16 unpackSnorm4x8 unpackUnorm2x16 unpackUnorm4x8 usubBorrow",literal:"true false"},illegal:'"',contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"}]}}var KV=WV;function QV(e){return{name:"GML",case_insensitive:!1,keywords:{keyword:["#endregion","#macro","#region","and","begin","break","case","constructor","continue","default","delete","div","do","else","end","enum","exit","for","function","globalvar","if","mod","not","or","repeat","return","switch","then","until","var","while","with","xor"],built_in:["abs","achievement_available","achievement_event","achievement_get_challenges","achievement_get_info","achievement_get_pic","achievement_increment","achievement_load_friends","achievement_load_leaderboard","achievement_load_progress","achievement_login","achievement_login_status","achievement_logout","achievement_post","achievement_post_score","achievement_reset","achievement_send_challenge","achievement_show","achievement_show_achievements","achievement_show_challenge_notifications","achievement_show_leaderboards","action_inherited","action_kill_object","ads_disable","ads_enable","ads_engagement_active","ads_engagement_available","ads_engagement_launch","ads_event","ads_event_preload","ads_get_display_height","ads_get_display_width","ads_interstitial_available","ads_interstitial_display","ads_move","ads_set_reward_callback","ads_setup","alarm_get","alarm_set","analytics_event","analytics_event_ext","angle_difference","ansi_char","application_get_position","application_surface_draw_enable","application_surface_enable","application_surface_is_enabled","arccos","arcsin","arctan","arctan2","array_copy","array_create","array_delete","array_equals","array_height_2d","array_insert","array_length","array_length_1d","array_length_2d","array_pop","array_push","array_resize","array_sort","asset_get_index","asset_get_type","audio_channel_num","audio_create_buffer_sound","audio_create_play_queue","audio_create_stream","audio_create_sync_group","audio_debug","audio_destroy_stream","audio_destroy_sync_group","audio_emitter_create","audio_emitter_exists","audio_emitter_falloff","audio_emitter_free","audio_emitter_gain","audio_emitter_get_gain","audio_emitter_get_listener_mask","audio_emitter_get_pitch","audio_emitter_get_vx","audio_emitter_get_vy","audio_emitter_get_vz","audio_emitter_get_x","audio_emitter_get_y","audio_emitter_get_z","audio_emitter_pitch","audio_emitter_position","audio_emitter_set_listener_mask","audio_emitter_velocity","audio_exists","audio_falloff_set_model","audio_free_buffer_sound","audio_free_play_queue","audio_get_listener_count","audio_get_listener_info","audio_get_listener_mask","audio_get_master_gain","audio_get_name","audio_get_recorder_count","audio_get_recorder_info","audio_get_type","audio_group_is_loaded","audio_group_load","audio_group_load_progress","audio_group_name","audio_group_set_gain","audio_group_stop_all","audio_group_unload","audio_is_paused","audio_is_playing","audio_listener_get_data","audio_listener_orientation","audio_listener_position","audio_listener_set_orientation","audio_listener_set_position","audio_listener_set_velocity","audio_listener_velocity","audio_master_gain","audio_music_gain","audio_music_is_playing","audio_pause_all","audio_pause_music","audio_pause_sound","audio_pause_sync_group","audio_play_in_sync_group","audio_play_music","audio_play_sound","audio_play_sound_at","audio_play_sound_on","audio_queue_sound","audio_resume_all","audio_resume_music","audio_resume_sound","audio_resume_sync_group","audio_set_listener_mask","audio_set_master_gain","audio_sound_gain","audio_sound_get_gain","audio_sound_get_listener_mask","audio_sound_get_pitch","audio_sound_get_track_position","audio_sound_length","audio_sound_pitch","audio_sound_set_listener_mask","audio_sound_set_track_position","audio_start_recording","audio_start_sync_group","audio_stop_all","audio_stop_music","audio_stop_recording","audio_stop_sound","audio_stop_sync_group","audio_sync_group_debug","audio_sync_group_get_track_pos","audio_sync_group_is_playing","audio_system","background_get_height","background_get_width","base64_decode","base64_encode","browser_input_capture","buffer_async_group_begin","buffer_async_group_end","buffer_async_group_option","buffer_base64_decode","buffer_base64_decode_ext","buffer_base64_encode","buffer_copy","buffer_copy_from_vertex_buffer","buffer_create","buffer_create_from_vertex_buffer","buffer_create_from_vertex_buffer_ext","buffer_delete","buffer_exists","buffer_fill","buffer_get_address","buffer_get_alignment","buffer_get_size","buffer_get_surface","buffer_get_type","buffer_load","buffer_load_async","buffer_load_ext","buffer_load_partial","buffer_md5","buffer_peek","buffer_poke","buffer_read","buffer_resize","buffer_save","buffer_save_async","buffer_save_ext","buffer_seek","buffer_set_surface","buffer_sha1","buffer_sizeof","buffer_tell","buffer_write","camera_apply","camera_create","camera_create_view","camera_destroy","camera_get_active","camera_get_begin_script","camera_get_default","camera_get_end_script","camera_get_proj_mat","camera_get_update_script","camera_get_view_angle","camera_get_view_border_x","camera_get_view_border_y","camera_get_view_height","camera_get_view_mat","camera_get_view_speed_x","camera_get_view_speed_y","camera_get_view_target","camera_get_view_width","camera_get_view_x","camera_get_view_y","camera_set_begin_script","camera_set_default","camera_set_end_script","camera_set_proj_mat","camera_set_update_script","camera_set_view_angle","camera_set_view_border","camera_set_view_mat","camera_set_view_pos","camera_set_view_size","camera_set_view_speed","camera_set_view_target","ceil","choose","chr","clamp","clickable_add","clickable_add_ext","clickable_change","clickable_change_ext","clickable_delete","clickable_exists","clickable_set_style","clipboard_get_text","clipboard_has_text","clipboard_set_text","cloud_file_save","cloud_string_save","cloud_synchronise","code_is_compiled","collision_circle","collision_circle_list","collision_ellipse","collision_ellipse_list","collision_line","collision_line_list","collision_point","collision_point_list","collision_rectangle","collision_rectangle_list","color_get_blue","color_get_green","color_get_hue","color_get_red","color_get_saturation","color_get_value","colour_get_blue","colour_get_green","colour_get_hue","colour_get_red","colour_get_saturation","colour_get_value","cos","darccos","darcsin","darctan","darctan2","date_compare_date","date_compare_datetime","date_compare_time","date_create_datetime","date_current_datetime","date_date_of","date_date_string","date_datetime_string","date_day_span","date_days_in_month","date_days_in_year","date_get_day","date_get_day_of_year","date_get_hour","date_get_hour_of_year","date_get_minute","date_get_minute_of_year","date_get_month","date_get_second","date_get_second_of_year","date_get_timezone","date_get_week","date_get_weekday","date_get_year","date_hour_span","date_inc_day","date_inc_hour","date_inc_minute","date_inc_month","date_inc_second","date_inc_week","date_inc_year","date_is_today","date_leap_year","date_minute_span","date_month_span","date_second_span","date_set_timezone","date_time_of","date_time_string","date_valid_datetime","date_week_span","date_year_span","dcos","debug_event","debug_get_callstack","degtorad","device_get_tilt_x","device_get_tilt_y","device_get_tilt_z","device_is_keypad_open","device_mouse_check_button","device_mouse_check_button_pressed","device_mouse_check_button_released","device_mouse_dbclick_enable","device_mouse_raw_x","device_mouse_raw_y","device_mouse_x","device_mouse_x_to_gui","device_mouse_y","device_mouse_y_to_gui","directory_create","directory_destroy","directory_exists","display_get_dpi_x","display_get_dpi_y","display_get_gui_height","display_get_gui_width","display_get_height","display_get_orientation","display_get_sleep_margin","display_get_timing_method","display_get_width","display_mouse_get_x","display_mouse_get_y","display_mouse_set","display_reset","display_set_gui_maximise","display_set_gui_maximize","display_set_gui_size","display_set_sleep_margin","display_set_timing_method","display_set_ui_visibility","distance_to_object","distance_to_point","dot_product","dot_product_3d","dot_product_3d_normalised","dot_product_3d_normalized","dot_product_normalised","dot_product_normalized","draw_arrow","draw_background","draw_background_ext","draw_background_part_ext","draw_background_tiled","draw_button","draw_circle","draw_circle_color","draw_circle_colour","draw_clear","draw_clear_alpha","draw_ellipse","draw_ellipse_color","draw_ellipse_colour","draw_enable_alphablend","draw_enable_drawevent","draw_enable_swf_aa","draw_flush","draw_get_alpha","draw_get_color","draw_get_colour","draw_get_lighting","draw_get_swf_aa_level","draw_getpixel","draw_getpixel_ext","draw_healthbar","draw_highscore","draw_light_define_ambient","draw_light_define_direction","draw_light_define_point","draw_light_enable","draw_light_get","draw_light_get_ambient","draw_line","draw_line_color","draw_line_colour","draw_line_width","draw_line_width_color","draw_line_width_colour","draw_path","draw_point","draw_point_color","draw_point_colour","draw_primitive_begin","draw_primitive_begin_texture","draw_primitive_end","draw_rectangle","draw_rectangle_color","draw_rectangle_colour","draw_roundrect","draw_roundrect_color","draw_roundrect_color_ext","draw_roundrect_colour","draw_roundrect_colour_ext","draw_roundrect_ext","draw_self","draw_set_alpha","draw_set_alpha_test","draw_set_alpha_test_ref_value","draw_set_blend_mode","draw_set_blend_mode_ext","draw_set_circle_precision","draw_set_color","draw_set_color_write_enable","draw_set_colour","draw_set_font","draw_set_halign","draw_set_lighting","draw_set_swf_aa_level","draw_set_valign","draw_skeleton","draw_skeleton_collision","draw_skeleton_instance","draw_skeleton_time","draw_sprite","draw_sprite_ext","draw_sprite_general","draw_sprite_part","draw_sprite_part_ext","draw_sprite_pos","draw_sprite_stretched","draw_sprite_stretched_ext","draw_sprite_tiled","draw_sprite_tiled_ext","draw_surface","draw_surface_ext","draw_surface_general","draw_surface_part","draw_surface_part_ext","draw_surface_stretched","draw_surface_stretched_ext","draw_surface_tiled","draw_surface_tiled_ext","draw_text","draw_text_color","draw_text_colour","draw_text_ext","draw_text_ext_color","draw_text_ext_colour","draw_text_ext_transformed","draw_text_ext_transformed_color","draw_text_ext_transformed_colour","draw_text_transformed","draw_text_transformed_color","draw_text_transformed_colour","draw_texture_flush","draw_tile","draw_tilemap","draw_triangle","draw_triangle_color","draw_triangle_colour","draw_vertex","draw_vertex_color","draw_vertex_colour","draw_vertex_texture","draw_vertex_texture_color","draw_vertex_texture_colour","ds_exists","ds_grid_add","ds_grid_add_disk","ds_grid_add_grid_region","ds_grid_add_region","ds_grid_clear","ds_grid_copy","ds_grid_create","ds_grid_destroy","ds_grid_get","ds_grid_get_disk_max","ds_grid_get_disk_mean","ds_grid_get_disk_min","ds_grid_get_disk_sum","ds_grid_get_max","ds_grid_get_mean","ds_grid_get_min","ds_grid_get_sum","ds_grid_height","ds_grid_multiply","ds_grid_multiply_disk","ds_grid_multiply_grid_region","ds_grid_multiply_region","ds_grid_read","ds_grid_resize","ds_grid_set","ds_grid_set_disk","ds_grid_set_grid_region","ds_grid_set_region","ds_grid_shuffle","ds_grid_sort","ds_grid_value_disk_exists","ds_grid_value_disk_x","ds_grid_value_disk_y","ds_grid_value_exists","ds_grid_value_x","ds_grid_value_y","ds_grid_width","ds_grid_write","ds_list_add","ds_list_clear","ds_list_copy","ds_list_create","ds_list_delete","ds_list_destroy","ds_list_empty","ds_list_find_index","ds_list_find_value","ds_list_insert","ds_list_mark_as_list","ds_list_mark_as_map","ds_list_read","ds_list_replace","ds_list_set","ds_list_shuffle","ds_list_size","ds_list_sort","ds_list_write","ds_map_add","ds_map_add_list","ds_map_add_map","ds_map_clear","ds_map_copy","ds_map_create","ds_map_delete","ds_map_destroy","ds_map_empty","ds_map_exists","ds_map_find_first","ds_map_find_last","ds_map_find_next","ds_map_find_previous","ds_map_find_value","ds_map_read","ds_map_replace","ds_map_replace_list","ds_map_replace_map","ds_map_secure_load","ds_map_secure_load_buffer","ds_map_secure_save","ds_map_secure_save_buffer","ds_map_set","ds_map_size","ds_map_write","ds_priority_add","ds_priority_change_priority","ds_priority_clear","ds_priority_copy","ds_priority_create","ds_priority_delete_max","ds_priority_delete_min","ds_priority_delete_value","ds_priority_destroy","ds_priority_empty","ds_priority_find_max","ds_priority_find_min","ds_priority_find_priority","ds_priority_read","ds_priority_size","ds_priority_write","ds_queue_clear","ds_queue_copy","ds_queue_create","ds_queue_dequeue","ds_queue_destroy","ds_queue_empty","ds_queue_enqueue","ds_queue_head","ds_queue_read","ds_queue_size","ds_queue_tail","ds_queue_write","ds_set_precision","ds_stack_clear","ds_stack_copy","ds_stack_create","ds_stack_destroy","ds_stack_empty","ds_stack_pop","ds_stack_push","ds_stack_read","ds_stack_size","ds_stack_top","ds_stack_write","dsin","dtan","effect_clear","effect_create_above","effect_create_below","environment_get_variable","event_inherited","event_perform","event_perform_object","event_user","exp","external_call","external_define","external_free","facebook_accesstoken","facebook_check_permission","facebook_dialog","facebook_graph_request","facebook_init","facebook_launch_offerwall","facebook_login","facebook_logout","facebook_post_message","facebook_request_publish_permissions","facebook_request_read_permissions","facebook_send_invite","facebook_status","facebook_user_id","file_attributes","file_bin_close","file_bin_open","file_bin_position","file_bin_read_byte","file_bin_rewrite","file_bin_seek","file_bin_size","file_bin_write_byte","file_copy","file_delete","file_exists","file_find_close","file_find_first","file_find_next","file_rename","file_text_close","file_text_eof","file_text_eoln","file_text_open_append","file_text_open_from_string","file_text_open_read","file_text_open_write","file_text_read_real","file_text_read_string","file_text_readln","file_text_write_real","file_text_write_string","file_text_writeln","filename_change_ext","filename_dir","filename_drive","filename_ext","filename_name","filename_path","floor","font_add","font_add_enable_aa","font_add_get_enable_aa","font_add_sprite","font_add_sprite_ext","font_delete","font_exists","font_get_bold","font_get_first","font_get_fontname","font_get_italic","font_get_last","font_get_name","font_get_size","font_get_texture","font_get_uvs","font_replace","font_replace_sprite","font_replace_sprite_ext","font_set_cache_size","font_texture_page_size","frac","game_end","game_get_speed","game_load","game_load_buffer","game_restart","game_save","game_save_buffer","game_set_speed","gamepad_axis_count","gamepad_axis_value","gamepad_button_check","gamepad_button_check_pressed","gamepad_button_check_released","gamepad_button_count","gamepad_button_value","gamepad_get_axis_deadzone","gamepad_get_button_threshold","gamepad_get_description","gamepad_get_device_count","gamepad_is_connected","gamepad_is_supported","gamepad_set_axis_deadzone","gamepad_set_button_threshold","gamepad_set_color","gamepad_set_colour","gamepad_set_vibration","gesture_double_tap_distance","gesture_double_tap_time","gesture_drag_distance","gesture_drag_time","gesture_flick_speed","gesture_get_double_tap_distance","gesture_get_double_tap_time","gesture_get_drag_distance","gesture_get_drag_time","gesture_get_flick_speed","gesture_get_pinch_angle_away","gesture_get_pinch_angle_towards","gesture_get_pinch_distance","gesture_get_rotate_angle","gesture_get_rotate_time","gesture_get_tap_count","gesture_pinch_angle_away","gesture_pinch_angle_towards","gesture_pinch_distance","gesture_rotate_angle","gesture_rotate_time","gesture_tap_count","get_integer","get_integer_async","get_login_async","get_open_filename","get_open_filename_ext","get_save_filename","get_save_filename_ext","get_string","get_string_async","get_timer","gml_pragma","gml_release_mode","gpu_get_alphatestenable","gpu_get_alphatestfunc","gpu_get_alphatestref","gpu_get_blendenable","gpu_get_blendmode","gpu_get_blendmode_dest","gpu_get_blendmode_destalpha","gpu_get_blendmode_ext","gpu_get_blendmode_ext_sepalpha","gpu_get_blendmode_src","gpu_get_blendmode_srcalpha","gpu_get_colorwriteenable","gpu_get_colourwriteenable","gpu_get_cullmode","gpu_get_fog","gpu_get_lightingenable","gpu_get_state","gpu_get_tex_filter","gpu_get_tex_filter_ext","gpu_get_tex_max_aniso","gpu_get_tex_max_aniso_ext","gpu_get_tex_max_mip","gpu_get_tex_max_mip_ext","gpu_get_tex_min_mip","gpu_get_tex_min_mip_ext","gpu_get_tex_mip_bias","gpu_get_tex_mip_bias_ext","gpu_get_tex_mip_enable","gpu_get_tex_mip_enable_ext","gpu_get_tex_mip_filter","gpu_get_tex_mip_filter_ext","gpu_get_tex_repeat","gpu_get_tex_repeat_ext","gpu_get_texfilter","gpu_get_texfilter_ext","gpu_get_texrepeat","gpu_get_texrepeat_ext","gpu_get_zfunc","gpu_get_ztestenable","gpu_get_zwriteenable","gpu_pop_state","gpu_push_state","gpu_set_alphatestenable","gpu_set_alphatestfunc","gpu_set_alphatestref","gpu_set_blendenable","gpu_set_blendmode","gpu_set_blendmode_ext","gpu_set_blendmode_ext_sepalpha","gpu_set_colorwriteenable","gpu_set_colourwriteenable","gpu_set_cullmode","gpu_set_fog","gpu_set_lightingenable","gpu_set_state","gpu_set_tex_filter","gpu_set_tex_filter_ext","gpu_set_tex_max_aniso","gpu_set_tex_max_aniso_ext","gpu_set_tex_max_mip","gpu_set_tex_max_mip_ext","gpu_set_tex_min_mip","gpu_set_tex_min_mip_ext","gpu_set_tex_mip_bias","gpu_set_tex_mip_bias_ext","gpu_set_tex_mip_enable","gpu_set_tex_mip_enable_ext","gpu_set_tex_mip_filter","gpu_set_tex_mip_filter_ext","gpu_set_tex_repeat","gpu_set_tex_repeat_ext","gpu_set_texfilter","gpu_set_texfilter_ext","gpu_set_texrepeat","gpu_set_texrepeat_ext","gpu_set_zfunc","gpu_set_ztestenable","gpu_set_zwriteenable","highscore_add","highscore_clear","highscore_name","highscore_value","http_get","http_get_file","http_post_string","http_request","iap_acquire","iap_activate","iap_consume","iap_enumerate_products","iap_product_details","iap_purchase_details","iap_restore_all","iap_status","ini_close","ini_key_delete","ini_key_exists","ini_open","ini_open_from_string","ini_read_real","ini_read_string","ini_section_delete","ini_section_exists","ini_write_real","ini_write_string","instance_activate_all","instance_activate_layer","instance_activate_object","instance_activate_region","instance_change","instance_copy","instance_create","instance_create_depth","instance_create_layer","instance_deactivate_all","instance_deactivate_layer","instance_deactivate_object","instance_deactivate_region","instance_destroy","instance_exists","instance_find","instance_furthest","instance_id_get","instance_nearest","instance_number","instance_place","instance_place_list","instance_position","instance_position_list","int64","io_clear","irandom","irandom_range","is_array","is_bool","is_infinity","is_int32","is_int64","is_matrix","is_method","is_nan","is_numeric","is_ptr","is_real","is_string","is_struct","is_undefined","is_vec3","is_vec4","json_decode","json_encode","keyboard_check","keyboard_check_direct","keyboard_check_pressed","keyboard_check_released","keyboard_clear","keyboard_get_map","keyboard_get_numlock","keyboard_key_press","keyboard_key_release","keyboard_set_map","keyboard_set_numlock","keyboard_unset_map","keyboard_virtual_height","keyboard_virtual_hide","keyboard_virtual_show","keyboard_virtual_status","layer_add_instance","layer_background_alpha","layer_background_blend","layer_background_change","layer_background_create","layer_background_destroy","layer_background_exists","layer_background_get_alpha","layer_background_get_blend","layer_background_get_htiled","layer_background_get_id","layer_background_get_index","layer_background_get_speed","layer_background_get_sprite","layer_background_get_stretch","layer_background_get_visible","layer_background_get_vtiled","layer_background_get_xscale","layer_background_get_yscale","layer_background_htiled","layer_background_index","layer_background_speed","layer_background_sprite","layer_background_stretch","layer_background_visible","layer_background_vtiled","layer_background_xscale","layer_background_yscale","layer_create","layer_depth","layer_destroy","layer_destroy_instances","layer_element_move","layer_exists","layer_force_draw_depth","layer_get_all","layer_get_all_elements","layer_get_depth","layer_get_element_layer","layer_get_element_type","layer_get_forced_depth","layer_get_hspeed","layer_get_id","layer_get_id_at_depth","layer_get_name","layer_get_script_begin","layer_get_script_end","layer_get_shader","layer_get_target_room","layer_get_visible","layer_get_vspeed","layer_get_x","layer_get_y","layer_has_instance","layer_hspeed","layer_instance_get_instance","layer_is_draw_depth_forced","layer_reset_target_room","layer_script_begin","layer_script_end","layer_set_target_room","layer_set_visible","layer_shader","layer_sprite_alpha","layer_sprite_angle","layer_sprite_blend","layer_sprite_change","layer_sprite_create","layer_sprite_destroy","layer_sprite_exists","layer_sprite_get_alpha","layer_sprite_get_angle","layer_sprite_get_blend","layer_sprite_get_id","layer_sprite_get_index","layer_sprite_get_speed","layer_sprite_get_sprite","layer_sprite_get_x","layer_sprite_get_xscale","layer_sprite_get_y","layer_sprite_get_yscale","layer_sprite_index","layer_sprite_speed","layer_sprite_x","layer_sprite_xscale","layer_sprite_y","layer_sprite_yscale","layer_tile_alpha","layer_tile_blend","layer_tile_change","layer_tile_create","layer_tile_destroy","layer_tile_exists","layer_tile_get_alpha","layer_tile_get_blend","layer_tile_get_region","layer_tile_get_sprite","layer_tile_get_visible","layer_tile_get_x","layer_tile_get_xscale","layer_tile_get_y","layer_tile_get_yscale","layer_tile_region","layer_tile_visible","layer_tile_x","layer_tile_xscale","layer_tile_y","layer_tile_yscale","layer_tilemap_create","layer_tilemap_destroy","layer_tilemap_exists","layer_tilemap_get_id","layer_vspeed","layer_x","layer_y","lengthdir_x","lengthdir_y","lerp","ln","load_csv","log10","log2","logn","make_color_hsv","make_color_rgb","make_colour_hsv","make_colour_rgb","math_get_epsilon","math_set_epsilon","matrix_build","matrix_build_identity","matrix_build_lookat","matrix_build_projection_ortho","matrix_build_projection_perspective","matrix_build_projection_perspective_fov","matrix_get","matrix_multiply","matrix_set","matrix_stack_clear","matrix_stack_is_empty","matrix_stack_multiply","matrix_stack_pop","matrix_stack_push","matrix_stack_set","matrix_stack_top","matrix_transform_vertex","max","md5_file","md5_string_unicode","md5_string_utf8","mean","median","merge_color","merge_colour","min","motion_add","motion_set","mouse_check_button","mouse_check_button_pressed","mouse_check_button_released","mouse_clear","mouse_wheel_down","mouse_wheel_up","move_bounce_all","move_bounce_solid","move_contact_all","move_contact_solid","move_outside_all","move_outside_solid","move_random","move_snap","move_towards_point","move_wrap","mp_grid_add_cell","mp_grid_add_instances","mp_grid_add_rectangle","mp_grid_clear_all","mp_grid_clear_cell","mp_grid_clear_rectangle","mp_grid_create","mp_grid_destroy","mp_grid_draw","mp_grid_get_cell","mp_grid_path","mp_grid_to_ds_grid","mp_linear_path","mp_linear_path_object","mp_linear_step","mp_linear_step_object","mp_potential_path","mp_potential_path_object","mp_potential_settings","mp_potential_step","mp_potential_step_object","network_connect","network_connect_raw","network_create_server","network_create_server_raw","network_create_socket","network_create_socket_ext","network_destroy","network_resolve","network_send_broadcast","network_send_packet","network_send_raw","network_send_udp","network_send_udp_raw","network_set_config","network_set_timeout","object_exists","object_get_depth","object_get_mask","object_get_name","object_get_parent","object_get_persistent","object_get_physics","object_get_solid","object_get_sprite","object_get_visible","object_is_ancestor","object_set_mask","object_set_persistent","object_set_solid","object_set_sprite","object_set_visible","ord","os_get_config","os_get_info","os_get_language","os_get_region","os_is_network_connected","os_is_paused","os_lock_orientation","os_powersave_enable","parameter_count","parameter_string","part_emitter_burst","part_emitter_clear","part_emitter_create","part_emitter_destroy","part_emitter_destroy_all","part_emitter_exists","part_emitter_region","part_emitter_stream","part_particles_clear","part_particles_count","part_particles_create","part_particles_create_color","part_particles_create_colour","part_system_automatic_draw","part_system_automatic_update","part_system_clear","part_system_create","part_system_create_layer","part_system_depth","part_system_destroy","part_system_draw_order","part_system_drawit","part_system_exists","part_system_get_layer","part_system_layer","part_system_position","part_system_update","part_type_alpha1","part_type_alpha2","part_type_alpha3","part_type_blend","part_type_clear","part_type_color1","part_type_color2","part_type_color3","part_type_color_hsv","part_type_color_mix","part_type_color_rgb","part_type_colour1","part_type_colour2","part_type_colour3","part_type_colour_hsv","part_type_colour_mix","part_type_colour_rgb","part_type_create","part_type_death","part_type_destroy","part_type_direction","part_type_exists","part_type_gravity","part_type_life","part_type_orientation","part_type_scale","part_type_shape","part_type_size","part_type_speed","part_type_sprite","part_type_step","path_add","path_add_point","path_append","path_assign","path_change_point","path_clear_points","path_delete","path_delete_point","path_duplicate","path_end","path_exists","path_flip","path_get_closed","path_get_kind","path_get_length","path_get_name","path_get_number","path_get_point_speed","path_get_point_x","path_get_point_y","path_get_precision","path_get_speed","path_get_time","path_get_x","path_get_y","path_insert_point","path_mirror","path_rescale","path_reverse","path_rotate","path_set_closed","path_set_kind","path_set_precision","path_shift","path_start","physics_apply_angular_impulse","physics_apply_force","physics_apply_impulse","physics_apply_local_force","physics_apply_local_impulse","physics_apply_torque","physics_draw_debug","physics_fixture_add_point","physics_fixture_bind","physics_fixture_bind_ext","physics_fixture_create","physics_fixture_delete","physics_fixture_set_angular_damping","physics_fixture_set_awake","physics_fixture_set_box_shape","physics_fixture_set_chain_shape","physics_fixture_set_circle_shape","physics_fixture_set_collision_group","physics_fixture_set_density","physics_fixture_set_edge_shape","physics_fixture_set_friction","physics_fixture_set_kinematic","physics_fixture_set_linear_damping","physics_fixture_set_polygon_shape","physics_fixture_set_restitution","physics_fixture_set_sensor","physics_get_density","physics_get_friction","physics_get_restitution","physics_joint_delete","physics_joint_distance_create","physics_joint_enable_motor","physics_joint_friction_create","physics_joint_gear_create","physics_joint_get_value","physics_joint_prismatic_create","physics_joint_pulley_create","physics_joint_revolute_create","physics_joint_rope_create","physics_joint_set_value","physics_joint_weld_create","physics_joint_wheel_create","physics_mass_properties","physics_particle_count","physics_particle_create","physics_particle_delete","physics_particle_delete_region_box","physics_particle_delete_region_circle","physics_particle_delete_region_poly","physics_particle_draw","physics_particle_draw_ext","physics_particle_get_damping","physics_particle_get_data","physics_particle_get_data_particle","physics_particle_get_density","physics_particle_get_gravity_scale","physics_particle_get_group_flags","physics_particle_get_max_count","physics_particle_get_radius","physics_particle_group_add_point","physics_particle_group_begin","physics_particle_group_box","physics_particle_group_circle","physics_particle_group_count","physics_particle_group_delete","physics_particle_group_end","physics_particle_group_get_ang_vel","physics_particle_group_get_angle","physics_particle_group_get_centre_x","physics_particle_group_get_centre_y","physics_particle_group_get_data","physics_particle_group_get_inertia","physics_particle_group_get_mass","physics_particle_group_get_vel_x","physics_particle_group_get_vel_y","physics_particle_group_get_x","physics_particle_group_get_y","physics_particle_group_join","physics_particle_group_polygon","physics_particle_set_category_flags","physics_particle_set_damping","physics_particle_set_density","physics_particle_set_flags","physics_particle_set_gravity_scale","physics_particle_set_group_flags","physics_particle_set_max_count","physics_particle_set_radius","physics_pause_enable","physics_remove_fixture","physics_set_density","physics_set_friction","physics_set_restitution","physics_test_overlap","physics_world_create","physics_world_draw_debug","physics_world_gravity","physics_world_update_iterations","physics_world_update_speed","place_empty","place_free","place_meeting","place_snapped","point_direction","point_distance","point_distance_3d","point_in_circle","point_in_rectangle","point_in_triangle","position_change","position_destroy","position_empty","position_meeting","power","ptr","push_cancel_local_notification","push_get_first_local_notification","push_get_next_local_notification","push_local_notification","radtodeg","random","random_get_seed","random_range","random_set_seed","randomise","randomize","real","rectangle_in_circle","rectangle_in_rectangle","rectangle_in_triangle","room_add","room_assign","room_duplicate","room_exists","room_get_camera","room_get_name","room_get_viewport","room_goto","room_goto_next","room_goto_previous","room_instance_add","room_instance_clear","room_next","room_previous","room_restart","room_set_background_color","room_set_background_colour","room_set_camera","room_set_height","room_set_persistent","room_set_view","room_set_view_enabled","room_set_viewport","room_set_width","round","screen_save","screen_save_part","script_execute","script_exists","script_get_name","sha1_file","sha1_string_unicode","sha1_string_utf8","shader_current","shader_enable_corner_id","shader_get_name","shader_get_sampler_index","shader_get_uniform","shader_is_compiled","shader_reset","shader_set","shader_set_uniform_f","shader_set_uniform_f_array","shader_set_uniform_i","shader_set_uniform_i_array","shader_set_uniform_matrix","shader_set_uniform_matrix_array","shaders_are_supported","shop_leave_rating","show_debug_message","show_debug_overlay","show_error","show_message","show_message_async","show_question","show_question_async","sign","sin","skeleton_animation_clear","skeleton_animation_get","skeleton_animation_get_duration","skeleton_animation_get_ext","skeleton_animation_get_frame","skeleton_animation_get_frames","skeleton_animation_list","skeleton_animation_mix","skeleton_animation_set","skeleton_animation_set_ext","skeleton_animation_set_frame","skeleton_attachment_create","skeleton_attachment_get","skeleton_attachment_set","skeleton_bone_data_get","skeleton_bone_data_set","skeleton_bone_state_get","skeleton_bone_state_set","skeleton_collision_draw_set","skeleton_get_bounds","skeleton_get_minmax","skeleton_get_num_bounds","skeleton_skin_get","skeleton_skin_list","skeleton_skin_set","skeleton_slot_data","sprite_add","sprite_add_from_surface","sprite_assign","sprite_collision_mask","sprite_create_from_surface","sprite_delete","sprite_duplicate","sprite_exists","sprite_flush","sprite_flush_multi","sprite_get_bbox_bottom","sprite_get_bbox_left","sprite_get_bbox_right","sprite_get_bbox_top","sprite_get_height","sprite_get_name","sprite_get_number","sprite_get_speed","sprite_get_speed_type","sprite_get_texture","sprite_get_tpe","sprite_get_uvs","sprite_get_width","sprite_get_xoffset","sprite_get_yoffset","sprite_merge","sprite_prefetch","sprite_prefetch_multi","sprite_replace","sprite_save","sprite_save_strip","sprite_set_alpha_from_sprite","sprite_set_cache_size","sprite_set_cache_size_ext","sprite_set_offset","sprite_set_speed","sqr","sqrt","steam_activate_overlay","steam_activate_overlay_browser","steam_activate_overlay_store","steam_activate_overlay_user","steam_available_languages","steam_clear_achievement","steam_create_leaderboard","steam_current_game_language","steam_download_friends_scores","steam_download_scores","steam_download_scores_around_user","steam_file_delete","steam_file_exists","steam_file_persisted","steam_file_read","steam_file_share","steam_file_size","steam_file_write","steam_file_write_file","steam_get_achievement","steam_get_app_id","steam_get_persona_name","steam_get_quota_free","steam_get_quota_total","steam_get_stat_avg_rate","steam_get_stat_float","steam_get_stat_int","steam_get_user_account_id","steam_get_user_persona_name","steam_get_user_steam_id","steam_initialised","steam_is_cloud_enabled_for_account","steam_is_cloud_enabled_for_app","steam_is_overlay_activated","steam_is_overlay_enabled","steam_is_screenshot_requested","steam_is_user_logged_on","steam_reset_all_stats","steam_reset_all_stats_achievements","steam_send_screenshot","steam_set_achievement","steam_set_stat_avg_rate","steam_set_stat_float","steam_set_stat_int","steam_stats_ready","steam_ugc_create_item","steam_ugc_create_query_all","steam_ugc_create_query_all_ex","steam_ugc_create_query_user","steam_ugc_create_query_user_ex","steam_ugc_download","steam_ugc_get_item_install_info","steam_ugc_get_item_update_info","steam_ugc_get_item_update_progress","steam_ugc_get_subscribed_items","steam_ugc_num_subscribed_items","steam_ugc_query_add_excluded_tag","steam_ugc_query_add_required_tag","steam_ugc_query_set_allow_cached_response","steam_ugc_query_set_cloud_filename_filter","steam_ugc_query_set_match_any_tag","steam_ugc_query_set_ranked_by_trend_days","steam_ugc_query_set_return_long_description","steam_ugc_query_set_return_total_only","steam_ugc_query_set_search_text","steam_ugc_request_item_details","steam_ugc_send_query","steam_ugc_set_item_content","steam_ugc_set_item_description","steam_ugc_set_item_preview","steam_ugc_set_item_tags","steam_ugc_set_item_title","steam_ugc_set_item_visibility","steam_ugc_start_item_update","steam_ugc_submit_item_update","steam_ugc_subscribe_item","steam_ugc_unsubscribe_item","steam_upload_score","steam_upload_score_buffer","steam_upload_score_buffer_ext","steam_upload_score_ext","steam_user_installed_dlc","steam_user_owns_dlc","string","string_byte_at","string_byte_length","string_char_at","string_copy","string_count","string_delete","string_digits","string_format","string_hash_to_newline","string_height","string_height_ext","string_insert","string_length","string_letters","string_lettersdigits","string_lower","string_ord_at","string_pos","string_repeat","string_replace","string_replace_all","string_set_byte_at","string_upper","string_width","string_width_ext","surface_copy","surface_copy_part","surface_create","surface_create_ext","surface_depth_disable","surface_exists","surface_free","surface_get_depth_disable","surface_get_height","surface_get_texture","surface_get_width","surface_getpixel","surface_getpixel_ext","surface_reset_target","surface_resize","surface_save","surface_save_part","surface_set_target","surface_set_target_ext","tan","texture_get_height","texture_get_texel_height","texture_get_texel_width","texture_get_uvs","texture_get_width","texture_global_scale","texture_set_stage","tile_get_empty","tile_get_flip","tile_get_index","tile_get_mirror","tile_get_rotate","tile_set_empty","tile_set_flip","tile_set_index","tile_set_mirror","tile_set_rotate","tilemap_clear","tilemap_get","tilemap_get_at_pixel","tilemap_get_cell_x_at_pixel","tilemap_get_cell_y_at_pixel","tilemap_get_frame","tilemap_get_global_mask","tilemap_get_height","tilemap_get_mask","tilemap_get_tile_height","tilemap_get_tile_width","tilemap_get_tileset","tilemap_get_width","tilemap_get_x","tilemap_get_y","tilemap_set","tilemap_set_at_pixel","tilemap_set_global_mask","tilemap_set_mask","tilemap_tileset","tilemap_x","tilemap_y","timeline_add","timeline_clear","timeline_delete","timeline_exists","timeline_get_name","timeline_max_moment","timeline_moment_add_script","timeline_moment_clear","timeline_size","typeof","url_get_domain","url_open","url_open_ext","url_open_full","variable_global_exists","variable_global_get","variable_global_set","variable_instance_exists","variable_instance_get","variable_instance_get_names","variable_instance_set","variable_struct_exists","variable_struct_get","variable_struct_get_names","variable_struct_names_count","variable_struct_remove","variable_struct_set","vertex_argb","vertex_begin","vertex_color","vertex_colour","vertex_create_buffer","vertex_create_buffer_ext","vertex_create_buffer_from_buffer","vertex_create_buffer_from_buffer_ext","vertex_delete_buffer","vertex_end","vertex_float1","vertex_float2","vertex_float3","vertex_float4","vertex_format_add_color","vertex_format_add_colour","vertex_format_add_custom","vertex_format_add_normal","vertex_format_add_position","vertex_format_add_position_3d","vertex_format_add_texcoord","vertex_format_add_textcoord","vertex_format_begin","vertex_format_delete","vertex_format_end","vertex_freeze","vertex_get_buffer_size","vertex_get_number","vertex_normal","vertex_position","vertex_position_3d","vertex_submit","vertex_texcoord","vertex_ubyte4","view_get_camera","view_get_hport","view_get_surface_id","view_get_visible","view_get_wport","view_get_xport","view_get_yport","view_set_camera","view_set_hport","view_set_surface_id","view_set_visible","view_set_wport","view_set_xport","view_set_yport","virtual_key_add","virtual_key_delete","virtual_key_hide","virtual_key_show","win8_appbar_add_element","win8_appbar_enable","win8_appbar_remove_element","win8_device_touchscreen_available","win8_license_initialize_sandbox","win8_license_trial_version","win8_livetile_badge_clear","win8_livetile_badge_notification","win8_livetile_notification_begin","win8_livetile_notification_end","win8_livetile_notification_expiry","win8_livetile_notification_image_add","win8_livetile_notification_secondary_begin","win8_livetile_notification_tag","win8_livetile_notification_text_add","win8_livetile_queue_enable","win8_livetile_tile_clear","win8_livetile_tile_notification","win8_search_add_suggestions","win8_search_disable","win8_search_enable","win8_secondarytile_badge_notification","win8_secondarytile_delete","win8_secondarytile_pin","win8_settingscharm_add_entry","win8_settingscharm_add_html_entry","win8_settingscharm_add_xaml_entry","win8_settingscharm_get_xaml_property","win8_settingscharm_remove_entry","win8_settingscharm_set_xaml_property","win8_share_file","win8_share_image","win8_share_screenshot","win8_share_text","win8_share_url","window_center","window_device","window_get_caption","window_get_color","window_get_colour","window_get_cursor","window_get_fullscreen","window_get_height","window_get_visible_rects","window_get_width","window_get_x","window_get_y","window_handle","window_has_focus","window_mouse_get_x","window_mouse_get_y","window_mouse_set","window_set_caption","window_set_color","window_set_colour","window_set_cursor","window_set_fullscreen","window_set_max_height","window_set_max_width","window_set_min_height","window_set_min_width","window_set_position","window_set_rectangle","window_set_size","window_view_mouse_get_x","window_view_mouse_get_y","window_views_mouse_get_x","window_views_mouse_get_y","winphone_license_trial_version","winphone_tile_back_content","winphone_tile_back_content_wide","winphone_tile_back_image","winphone_tile_back_image_wide","winphone_tile_back_title","winphone_tile_background_color","winphone_tile_background_colour","winphone_tile_count","winphone_tile_cycle_images","winphone_tile_front_image","winphone_tile_front_image_small","winphone_tile_front_image_wide","winphone_tile_icon_image","winphone_tile_small_background_image","winphone_tile_small_icon_image","winphone_tile_title","winphone_tile_wide_content","zip_unzip"],literal:["all","false","noone","pointer_invalid","pointer_null","true","undefined"],symbol:["ANSI_CHARSET","ARABIC_CHARSET","BALTIC_CHARSET","CHINESEBIG5_CHARSET","DEFAULT_CHARSET","EASTEUROPE_CHARSET","GB2312_CHARSET","GM_build_date","GM_runtime_version","GM_version","GREEK_CHARSET","HANGEUL_CHARSET","HEBREW_CHARSET","JOHAB_CHARSET","MAC_CHARSET","OEM_CHARSET","RUSSIAN_CHARSET","SHIFTJIS_CHARSET","SYMBOL_CHARSET","THAI_CHARSET","TURKISH_CHARSET","VIETNAMESE_CHARSET","achievement_achievement_info","achievement_filter_all_players","achievement_filter_favorites_only","achievement_filter_friends_only","achievement_friends_info","achievement_leaderboard_info","achievement_our_info","achievement_pic_loaded","achievement_show_achievement","achievement_show_bank","achievement_show_friend_picker","achievement_show_leaderboard","achievement_show_profile","achievement_show_purchase_prompt","achievement_show_ui","achievement_type_achievement_challenge","achievement_type_score_challenge","asset_font","asset_object","asset_path","asset_room","asset_script","asset_shader","asset_sound","asset_sprite","asset_tiles","asset_timeline","asset_unknown","audio_3d","audio_falloff_exponent_distance","audio_falloff_exponent_distance_clamped","audio_falloff_inverse_distance","audio_falloff_inverse_distance_clamped","audio_falloff_linear_distance","audio_falloff_linear_distance_clamped","audio_falloff_none","audio_mono","audio_new_system","audio_old_system","audio_stereo","bm_add","bm_complex","bm_dest_alpha","bm_dest_color","bm_dest_colour","bm_inv_dest_alpha","bm_inv_dest_color","bm_inv_dest_colour","bm_inv_src_alpha","bm_inv_src_color","bm_inv_src_colour","bm_max","bm_normal","bm_one","bm_src_alpha","bm_src_alpha_sat","bm_src_color","bm_src_colour","bm_subtract","bm_zero","browser_chrome","browser_edge","browser_firefox","browser_ie","browser_ie_mobile","browser_not_a_browser","browser_opera","browser_safari","browser_safari_mobile","browser_tizen","browser_unknown","browser_windows_store","buffer_bool","buffer_f16","buffer_f32","buffer_f64","buffer_fast","buffer_fixed","buffer_generalerror","buffer_grow","buffer_invalidtype","buffer_network","buffer_outofbounds","buffer_outofspace","buffer_s16","buffer_s32","buffer_s8","buffer_seek_end","buffer_seek_relative","buffer_seek_start","buffer_string","buffer_surface_copy","buffer_text","buffer_u16","buffer_u32","buffer_u64","buffer_u8","buffer_vbuffer","buffer_wrap","button_type","c_aqua","c_black","c_blue","c_dkgray","c_fuchsia","c_gray","c_green","c_lime","c_ltgray","c_maroon","c_navy","c_olive","c_orange","c_purple","c_red","c_silver","c_teal","c_white","c_yellow","cmpfunc_always","cmpfunc_equal","cmpfunc_greater","cmpfunc_greaterequal","cmpfunc_less","cmpfunc_lessequal","cmpfunc_never","cmpfunc_notequal","cr_appstart","cr_arrow","cr_beam","cr_cross","cr_default","cr_drag","cr_handpoint","cr_hourglass","cr_none","cr_size_all","cr_size_nesw","cr_size_ns","cr_size_nwse","cr_size_we","cr_uparrow","cull_clockwise","cull_counterclockwise","cull_noculling","device_emulator","device_ios_ipad","device_ios_ipad_retina","device_ios_iphone","device_ios_iphone5","device_ios_iphone6","device_ios_iphone6plus","device_ios_iphone_retina","device_ios_unknown","device_tablet","display_landscape","display_landscape_flipped","display_portrait","display_portrait_flipped","dll_cdecl","dll_stdcall","ds_type_grid","ds_type_list","ds_type_map","ds_type_priority","ds_type_queue","ds_type_stack","ef_cloud","ef_ellipse","ef_explosion","ef_firework","ef_flare","ef_rain","ef_ring","ef_smoke","ef_smokeup","ef_snow","ef_spark","ef_star","ev_alarm","ev_animation_end","ev_boundary","ev_cleanup","ev_close_button","ev_collision","ev_create","ev_destroy","ev_draw","ev_draw_begin","ev_draw_end","ev_draw_post","ev_draw_pre","ev_end_of_path","ev_game_end","ev_game_start","ev_gesture","ev_gesture_double_tap","ev_gesture_drag_end","ev_gesture_drag_start","ev_gesture_dragging","ev_gesture_flick","ev_gesture_pinch_end","ev_gesture_pinch_in","ev_gesture_pinch_out","ev_gesture_pinch_start","ev_gesture_rotate_end","ev_gesture_rotate_start","ev_gesture_rotating","ev_gesture_tap","ev_global_gesture_double_tap","ev_global_gesture_drag_end","ev_global_gesture_drag_start","ev_global_gesture_dragging","ev_global_gesture_flick","ev_global_gesture_pinch_end","ev_global_gesture_pinch_in","ev_global_gesture_pinch_out","ev_global_gesture_pinch_start","ev_global_gesture_rotate_end","ev_global_gesture_rotate_start","ev_global_gesture_rotating","ev_global_gesture_tap","ev_global_left_button","ev_global_left_press","ev_global_left_release","ev_global_middle_button","ev_global_middle_press","ev_global_middle_release","ev_global_right_button","ev_global_right_press","ev_global_right_release","ev_gui","ev_gui_begin","ev_gui_end","ev_joystick1_button1","ev_joystick1_button2","ev_joystick1_button3","ev_joystick1_button4","ev_joystick1_button5","ev_joystick1_button6","ev_joystick1_button7","ev_joystick1_button8","ev_joystick1_down","ev_joystick1_left","ev_joystick1_right","ev_joystick1_up","ev_joystick2_button1","ev_joystick2_button2","ev_joystick2_button3","ev_joystick2_button4","ev_joystick2_button5","ev_joystick2_button6","ev_joystick2_button7","ev_joystick2_button8","ev_joystick2_down","ev_joystick2_left","ev_joystick2_right","ev_joystick2_up","ev_keyboard","ev_keypress","ev_keyrelease","ev_left_button","ev_left_press","ev_left_release","ev_middle_button","ev_middle_press","ev_middle_release","ev_mouse","ev_mouse_enter","ev_mouse_leave","ev_mouse_wheel_down","ev_mouse_wheel_up","ev_no_button","ev_no_more_health","ev_no_more_lives","ev_other","ev_outside","ev_right_button","ev_right_press","ev_right_release","ev_room_end","ev_room_start","ev_step","ev_step_begin","ev_step_end","ev_step_normal","ev_trigger","ev_user0","ev_user1","ev_user2","ev_user3","ev_user4","ev_user5","ev_user6","ev_user7","ev_user8","ev_user9","ev_user10","ev_user11","ev_user12","ev_user13","ev_user14","ev_user15","fa_archive","fa_bottom","fa_center","fa_directory","fa_hidden","fa_left","fa_middle","fa_readonly","fa_right","fa_sysfile","fa_top","fa_volumeid","fb_login_default","fb_login_fallback_to_webview","fb_login_forcing_safari","fb_login_forcing_webview","fb_login_no_fallback_to_webview","fb_login_use_system_account","gamespeed_fps","gamespeed_microseconds","ge_lose","global","gp_axislh","gp_axislv","gp_axisrh","gp_axisrv","gp_face1","gp_face2","gp_face3","gp_face4","gp_padd","gp_padl","gp_padr","gp_padu","gp_select","gp_shoulderl","gp_shoulderlb","gp_shoulderr","gp_shoulderrb","gp_start","gp_stickl","gp_stickr","iap_available","iap_canceled","iap_ev_consume","iap_ev_product","iap_ev_purchase","iap_ev_restore","iap_ev_storeload","iap_failed","iap_purchased","iap_refunded","iap_status_available","iap_status_loading","iap_status_processing","iap_status_restoring","iap_status_unavailable","iap_status_uninitialised","iap_storeload_failed","iap_storeload_ok","iap_unavailable","input_type","kbv_autocapitalize_characters","kbv_autocapitalize_none","kbv_autocapitalize_sentences","kbv_autocapitalize_words","kbv_returnkey_continue","kbv_returnkey_default","kbv_returnkey_done","kbv_returnkey_emergency","kbv_returnkey_go","kbv_returnkey_google","kbv_returnkey_join","kbv_returnkey_next","kbv_returnkey_route","kbv_returnkey_search","kbv_returnkey_send","kbv_returnkey_yahoo","kbv_type_ascii","kbv_type_default","kbv_type_email","kbv_type_numbers","kbv_type_phone","kbv_type_phone_name","kbv_type_url","layerelementtype_background","layerelementtype_instance","layerelementtype_oldtilemap","layerelementtype_particlesystem","layerelementtype_sprite","layerelementtype_tile","layerelementtype_tilemap","layerelementtype_undefined","lb_disp_none","lb_disp_numeric","lb_disp_time_ms","lb_disp_time_sec","lb_sort_ascending","lb_sort_descending","lb_sort_none","leaderboard_type_number","leaderboard_type_time_mins_secs","lighttype_dir","lighttype_point","local","matrix_projection","matrix_view","matrix_world","mb_any","mb_left","mb_middle","mb_none","mb_right","mip_markedonly","mip_off","mip_on","network_config_connect_timeout","network_config_disable_reliable_udp","network_config_enable_reliable_udp","network_config_use_non_blocking_socket","network_socket_bluetooth","network_socket_tcp","network_socket_udp","network_type_connect","network_type_data","network_type_disconnect","network_type_non_blocking_connect","of_challen","of_challenge_tie","of_challenge_win","os_3ds","os_android","os_bb10","os_ios","os_linux","os_macosx","os_ps3","os_ps4","os_psvita","os_switch","os_symbian","os_tizen","os_tvos","os_unknown","os_uwp","os_wiiu","os_win32","os_win8native","os_windows","os_winphone","os_xbox360","os_xboxone","other","ov_achievements","ov_community","ov_friends","ov_gamegroup","ov_players","ov_settings","path_action_continue","path_action_restart","path_action_reverse","path_action_stop","phy_debug_render_aabb","phy_debug_render_collision_pairs","phy_debug_render_coms","phy_debug_render_core_shapes","phy_debug_render_joints","phy_debug_render_obb","phy_debug_render_shapes","phy_joint_anchor_1_x","phy_joint_anchor_1_y","phy_joint_anchor_2_x","phy_joint_anchor_2_y","phy_joint_angle","phy_joint_angle_limits","phy_joint_damping_ratio","phy_joint_frequency","phy_joint_length_1","phy_joint_length_2","phy_joint_lower_angle_limit","phy_joint_max_force","phy_joint_max_length","phy_joint_max_motor_force","phy_joint_max_motor_torque","phy_joint_max_torque","phy_joint_motor_force","phy_joint_motor_speed","phy_joint_motor_torque","phy_joint_reaction_force_x","phy_joint_reaction_force_y","phy_joint_reaction_torque","phy_joint_speed","phy_joint_translation","phy_joint_upper_angle_limit","phy_particle_data_flag_category","phy_particle_data_flag_color","phy_particle_data_flag_colour","phy_particle_data_flag_position","phy_particle_data_flag_typeflags","phy_particle_data_flag_velocity","phy_particle_flag_colormixing","phy_particle_flag_colourmixing","phy_particle_flag_elastic","phy_particle_flag_powder","phy_particle_flag_spring","phy_particle_flag_tensile","phy_particle_flag_viscous","phy_particle_flag_wall","phy_particle_flag_water","phy_particle_flag_zombie","phy_particle_group_flag_rigid","phy_particle_group_flag_solid","pi","pr_linelist","pr_linestrip","pr_pointlist","pr_trianglefan","pr_trianglelist","pr_trianglestrip","ps_distr_gaussian","ps_distr_invgaussian","ps_distr_linear","ps_shape_diamond","ps_shape_ellipse","ps_shape_line","ps_shape_rectangle","pt_shape_circle","pt_shape_cloud","pt_shape_disk","pt_shape_explosion","pt_shape_flare","pt_shape_line","pt_shape_pixel","pt_shape_ring","pt_shape_smoke","pt_shape_snow","pt_shape_spark","pt_shape_sphere","pt_shape_square","pt_shape_star","spritespeed_framespergameframe","spritespeed_framespersecond","text_type","tf_anisotropic","tf_linear","tf_point","tile_flip","tile_index_mask","tile_mirror","tile_rotate","timezone_local","timezone_utc","tm_countvsyncs","tm_sleep","ty_real","ty_string","ugc_filetype_community","ugc_filetype_microtrans","ugc_list_Favorited","ugc_list_Followed","ugc_list_Published","ugc_list_Subscribed","ugc_list_UsedOrPlayed","ugc_list_VotedDown","ugc_list_VotedOn","ugc_list_VotedUp","ugc_list_WillVoteLater","ugc_match_AllGuides","ugc_match_Artwork","ugc_match_Collections","ugc_match_ControllerBindings","ugc_match_IntegratedGuides","ugc_match_Items","ugc_match_Items_Mtx","ugc_match_Items_ReadyToUse","ugc_match_Screenshots","ugc_match_UsableInGame","ugc_match_Videos","ugc_match_WebGuides","ugc_query_AcceptedForGameRankedByAcceptanceDate","ugc_query_CreatedByFollowedUsersRankedByPublicationDate","ugc_query_CreatedByFriendsRankedByPublicationDate","ugc_query_FavoritedByFriendsRankedByPublicationDate","ugc_query_NotYetRated","ugc_query_RankedByNumTimesReported","ugc_query_RankedByPublicationDate","ugc_query_RankedByTextSearch","ugc_query_RankedByTotalVotesAsc","ugc_query_RankedByTrend","ugc_query_RankedByVote","ugc_query_RankedByVotesUp","ugc_result_success","ugc_sortorder_CreationOrderAsc","ugc_sortorder_CreationOrderDesc","ugc_sortorder_ForModeration","ugc_sortorder_LastUpdatedDesc","ugc_sortorder_SubscriptionDateDesc","ugc_sortorder_TitleAsc","ugc_sortorder_VoteScoreDesc","ugc_visibility_friends_only","ugc_visibility_private","ugc_visibility_public","vertex_type_color","vertex_type_colour","vertex_type_float1","vertex_type_float2","vertex_type_float3","vertex_type_float4","vertex_type_ubyte4","vertex_usage_binormal","vertex_usage_blendindices","vertex_usage_blendweight","vertex_usage_color","vertex_usage_colour","vertex_usage_depth","vertex_usage_fog","vertex_usage_normal","vertex_usage_position","vertex_usage_psize","vertex_usage_sample","vertex_usage_tangent","vertex_usage_texcoord","vertex_usage_textcoord","vk_add","vk_alt","vk_anykey","vk_backspace","vk_control","vk_decimal","vk_delete","vk_divide","vk_down","vk_end","vk_enter","vk_escape","vk_f1","vk_f2","vk_f3","vk_f4","vk_f5","vk_f6","vk_f7","vk_f8","vk_f9","vk_f10","vk_f11","vk_f12","vk_home","vk_insert","vk_lalt","vk_lcontrol","vk_left","vk_lshift","vk_multiply","vk_nokey","vk_numpad0","vk_numpad1","vk_numpad2","vk_numpad3","vk_numpad4","vk_numpad5","vk_numpad6","vk_numpad7","vk_numpad8","vk_numpad9","vk_pagedown","vk_pageup","vk_pause","vk_printscreen","vk_ralt","vk_rcontrol","vk_return","vk_right","vk_rshift","vk_shift","vk_space","vk_subtract","vk_tab","vk_up"],"variable.language":["alarm","application_surface","argument","argument0","argument1","argument2","argument3","argument4","argument5","argument6","argument7","argument8","argument9","argument10","argument11","argument12","argument13","argument14","argument15","argument_count","argument_relative","async_load","background_color","background_colour","background_showcolor","background_showcolour","bbox_bottom","bbox_left","bbox_right","bbox_top","browser_height","browser_width","caption_health","caption_lives","caption_score","current_day","current_hour","current_minute","current_month","current_second","current_time","current_weekday","current_year","cursor_sprite","debug_mode","delta_time","depth","direction","display_aa","error_last","error_occurred","event_action","event_data","event_number","event_object","event_type","fps","fps_real","friction","game_display_name","game_id","game_project_name","game_save_id","gamemaker_pro","gamemaker_registered","gamemaker_version","gravity","gravity_direction","health","hspeed","iap_data","id|0","image_alpha","image_angle","image_blend","image_index","image_number","image_speed","image_xscale","image_yscale","instance_count","instance_id","keyboard_key","keyboard_lastchar","keyboard_lastkey","keyboard_string","layer","lives","mask_index","mouse_button","mouse_lastbutton","mouse_x","mouse_y","object_index","os_browser","os_device","os_type","os_version","path_endaction","path_index","path_orientation","path_position","path_positionprevious","path_scale","path_speed","persistent","phy_active","phy_angular_damping","phy_angular_velocity","phy_bullet","phy_col_normal_x","phy_col_normal_y","phy_collision_points","phy_collision_x","phy_collision_y","phy_com_x","phy_com_y","phy_dynamic","phy_fixed_rotation","phy_inertia","phy_kinematic","phy_linear_damping","phy_linear_velocity_x","phy_linear_velocity_y","phy_mass","phy_position_x","phy_position_xprevious","phy_position_y","phy_position_yprevious","phy_rotation","phy_sleeping","phy_speed","phy_speed_x","phy_speed_y","program_directory","room","room_caption","room_first","room_height","room_last","room_persistent","room_speed","room_width","score","self","show_health","show_lives","show_score","solid","speed","sprite_height","sprite_index","sprite_width","sprite_xoffset","sprite_yoffset","temp_directory","timeline_index","timeline_loop","timeline_position","timeline_running","timeline_speed","view_angle","view_camera","view_current","view_enabled","view_hborder","view_hport","view_hspeed","view_hview","view_object","view_surface_id","view_vborder","view_visible","view_vspeed","view_wport","view_wview","view_xport","view_xview","view_yport","view_yview","visible","vspeed","webgl_enabled","working_directory","xprevious","xstart","x|0","yprevious","ystart","y|0"]},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}var jV=QV;function XV(e){const l={keyword:["break","case","chan","const","continue","default","defer","else","fallthrough","for","func","go","goto","if","import","interface","map","package","range","return","select","struct","switch","type","var"],type:["bool","byte","complex64","complex128","error","float32","float64","int8","int16","int32","int64","string","uint8","uint16","uint32","uint64","int","uint","uintptr","rune"],literal:["true","false","iota","nil"],built_in:["append","cap","close","complex","copy","imag","len","make","new","panic","print","println","real","recover","delete"]};return{name:"Go",aliases:["golang"],keywords:l,illegal:"",end:",\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:":\\w+"},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]},{begin:"\\(\\s*",end:"\\s*\\)",excludeEnd:!0,contains:[{begin:"\\w+\\s*=",end:"\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:"\\w+",relevance:0},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]}]},{begin:"^\\s*[=~]\\s*"},{begin:/#\{/,end:/\}/,subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0}]}}var lW=sW;function cW(e){const t=e.regex,n={$pattern:/[\w.\/]+/,built_in:["action","bindattr","collection","component","concat","debugger","each","each-in","get","hash","if","in","input","link-to","loc","log","lookup","mut","outlet","partial","query-params","render","template","textarea","unbound","unless","view","with","yield"]},r={$pattern:/[\w.\/]+/,literal:["true","false","undefined","null"]},a=/""|"[^"]+"/,l=/''|'[^']+'/,u=/\[\]|\[[^\]]+\]/,c=/[^\s!"#%&'()*+,.\/;<=>@\[\\\]^`{|}~]+/,d=/(\.|\/)/,g=t.either(a,l,u,c),f=t.concat(t.optional(/\.|\.\/|\//),g,t.anyNumberOfTimes(t.concat(d,g))),h=t.concat("(",u,"|",c,")(?==)"),p={begin:f},m=e.inherit(p,{keywords:r}),y={begin:/\(/,end:/\)/},b={className:"attr",begin:h,relevance:0,starts:{begin:/=/,end:/=/,starts:{contains:[e.NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,m,y]}}},C={begin:/as\s+\|/,keywords:{keyword:"as"},end:/\|/,contains:[{begin:/\w+/}]},E={contains:[e.NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,C,b,m,y],returnEnd:!0},v=e.inherit(p,{className:"name",keywords:n,starts:e.inherit(E,{end:/\)/})});y.contains=[v];const O=e.inherit(p,{keywords:n,className:"name",starts:e.inherit(E,{end:/\}\}/})}),S=e.inherit(p,{keywords:n,className:"name"}),A=e.inherit(p,{className:"name",keywords:n,starts:e.inherit(E,{end:/\}\}/})});return{name:"Handlebars",aliases:["hbs","html.hbs","html.handlebars","htmlbars"],case_insensitive:!0,subLanguage:"xml",contains:[{begin:/\\\{\{/,skip:!0},{begin:/\\\\(?=\{\{)/,skip:!0},e.COMMENT(/\{\{!--/,/--\}\}/),e.COMMENT(/\{\{!/,/\}\}/),{className:"template-tag",begin:/\{\{\{\{(?!\/)/,end:/\}\}\}\}/,contains:[O],starts:{end:/\{\{\{\{\//,returnEnd:!0,subLanguage:"xml"}},{className:"template-tag",begin:/\{\{\{\{\//,end:/\}\}\}\}/,contains:[S]},{className:"template-tag",begin:/\{\{#/,end:/\}\}/,contains:[O]},{className:"template-tag",begin:/\{\{(?=else\}\})/,end:/\}\}/,keywords:"else"},{className:"template-tag",begin:/\{\{(?=else if)/,end:/\}\}/,keywords:"else if"},{className:"template-tag",begin:/\{\{\//,end:/\}\}/,contains:[S]},{className:"template-variable",begin:/\{\{\{/,end:/\}\}\}/,contains:[A]},{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:[A]}]}}var uW=cW;function dW(e){const t={variants:[e.COMMENT("--","$"),e.COMMENT(/\{-/,/-\}/,{contains:["self"]})]},n={className:"meta",begin:/\{-#/,end:/#-\}/},r={className:"meta",begin:"^#",end:"$"},a={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},l={begin:"\\(",end:"\\)",illegal:'"',contains:[n,r,{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},e.inherit(e.TITLE_MODE,{begin:"[_a-z][\\w']*"}),t]},u={begin:/\{/,end:/\}/,contains:l.contains},c="([0-9]_*)+",d="([0-9a-fA-F]_*)+",g="([01]_*)+",f="([0-7]_*)+",h={className:"number",relevance:0,variants:[{match:`\\b(${c})(\\.(${c}))?([eE][+-]?(${c}))?\\b`},{match:`\\b0[xX]_*(${d})(\\.(${d}))?([pP][+-]?(${c}))?\\b`},{match:`\\b0[oO](${f})\\b`},{match:`\\b0[bB](${g})\\b`}]};return{name:"Haskell",aliases:["hs"],keywords:"let in if then else case of where do module import hiding qualified type data newtype deriving class instance as default infix infixl infixr foreign export ccall stdcall cplusplus jvm dotnet safe unsafe family forall mdo proc rec",contains:[{beginKeywords:"module",end:"where",keywords:"module where",contains:[l,t],illegal:"\\W\\.|;"},{begin:"\\bimport\\b",end:"$",keywords:"import qualified as hiding",contains:[l,t],illegal:"\\W\\.|;"},{className:"class",begin:"^(\\s*)?(class|instance)\\b",end:"where",keywords:"class family instance where",contains:[a,l,t]},{className:"class",begin:"\\b(data|(new)?type)\\b",end:"$",keywords:"data family type newtype deriving",contains:[n,a,l,u,t]},{beginKeywords:"default",end:"$",contains:[a,l,t]},{beginKeywords:"infix infixl infixr",end:"$",contains:[e.C_NUMBER_MODE,t]},{begin:"\\bforeign\\b",end:"$",keywords:"foreign import export ccall stdcall cplusplus jvm dotnet safe unsafe",contains:[a,e.QUOTE_STRING_MODE,t]},{className:"meta",begin:"#!\\/usr\\/bin\\/env runhaskell",end:"$"},n,r,{scope:"string",begin:/'(?=\\?.')/,end:/'/,contains:[{scope:"char.escape",match:/\\./}]},e.QUOTE_STRING_MODE,h,a,e.inherit(e.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),t,{begin:"->|<-"}]}}var _W=dW;function pW(e){return{name:"Haxe",aliases:["hx"],keywords:{keyword:"break case cast catch continue default do dynamic else enum extern for function here if import in inline never new override package private get set public return static super switch this throw trace try typedef untyped using var while "+"Int Float String Bool Dynamic Void Array ",built_in:"trace this",literal:"true false null _"},contains:[{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE,{className:"subst",begin:"\\$\\{",end:"\\}"},{className:"subst",begin:"\\$",end:/\W\}/}]},e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"@:",end:"$"},{className:"meta",begin:"#",end:"$",keywords:{keyword:"if else elseif end error"}},{className:"type",begin:":[ ]*",end:"[^A-Za-z0-9_ \\->]",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:":[ ]*",end:"\\W",excludeBegin:!0,excludeEnd:!0},{className:"type",begin:"new *",end:"\\W",excludeBegin:!0,excludeEnd:!0},{className:"class",beginKeywords:"enum",end:"\\{",contains:[e.TITLE_MODE]},{className:"class",beginKeywords:"abstract",end:"[\\{$]",contains:[{className:"type",begin:"\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0},{className:"type",begin:"from +",end:"\\W",excludeBegin:!0,excludeEnd:!0},{className:"type",begin:"to +",end:"\\W",excludeBegin:!0,excludeEnd:!0},e.TITLE_MODE],keywords:{keyword:"abstract from to"}},{className:"class",begin:"\\b(class|interface) +",end:"[\\{$]",excludeEnd:!0,keywords:"class interface",contains:[{className:"keyword",begin:"\\b(extends|implements) +",keywords:"extends implements",contains:[{className:"type",begin:e.IDENT_RE,relevance:0}]},e.TITLE_MODE]},{className:"function",beginKeywords:"function",end:"\\(",excludeEnd:!0,illegal:"\\S",contains:[e.TITLE_MODE]}],illegal:/<\//}}var mW=pW;function fW(e){return{name:"HSP",case_insensitive:!0,keywords:{$pattern:/[\w._]+/,keyword:"goto gosub return break repeat loop continue wait await dim sdim foreach dimtype dup dupptr end stop newmod delmod mref run exgoto on mcall assert logmes newlab resume yield onexit onerror onkey onclick oncmd exist delete mkdir chdir dirlist bload bsave bcopy memfile if else poke wpoke lpoke getstr chdpm memexpand memcpy memset notesel noteadd notedel noteload notesave randomize noteunsel noteget split strrep setease button chgdisp exec dialog mmload mmplay mmstop mci pset pget syscolor mes print title pos circle cls font sysfont objsize picload color palcolor palette redraw width gsel gcopy gzoom gmode bmpsave hsvcolor getkey listbox chkbox combox input mesbox buffer screen bgscr mouse objsel groll line clrobj boxf objprm objmode stick grect grotate gsquare gradf objimage objskip objenable celload celdiv celput newcom querycom delcom cnvstow comres axobj winobj sendmsg comevent comevarg sarrayconv callfunc cnvwtos comevdisp libptr system hspstat hspver stat cnt err strsize looplev sublev iparam wparam lparam refstr refdval int rnd strlen length length2 length3 length4 vartype gettime peek wpeek lpeek varptr varuse noteinfo instr abs limit getease str strmid strf getpath strtrim sin cos tan atan sqrt double absf expf logf limitf powf geteasef mousex mousey mousew hwnd hinstance hdc ginfo objinfo dirinfo sysinfo thismod __hspver__ __hsp30__ __date__ __time__ __line__ __file__ _debug __hspdef__ and or xor not screen_normal screen_palette screen_hide screen_fixedsize screen_tool screen_frame gmode_gdi gmode_mem gmode_rgb0 gmode_alpha gmode_rgb0alpha gmode_add gmode_sub gmode_pixela ginfo_mx ginfo_my ginfo_act ginfo_sel ginfo_wx1 ginfo_wy1 ginfo_wx2 ginfo_wy2 ginfo_vx ginfo_vy ginfo_sizex ginfo_sizey ginfo_winx ginfo_winy ginfo_mesx ginfo_mesy ginfo_r ginfo_g ginfo_b ginfo_paluse ginfo_dispx ginfo_dispy ginfo_cx ginfo_cy ginfo_intid ginfo_newid ginfo_sx ginfo_sy objinfo_mode objinfo_bmscr objinfo_hwnd notemax notesize dir_cur dir_exe dir_win dir_sys dir_cmdline dir_desktop dir_mydoc dir_tv font_normal font_bold font_italic font_underline font_strikeout font_antialias objmode_normal objmode_guifont objmode_usefont gsquare_grad msgothic msmincho do until while wend for next _break _continue switch case default swbreak swend ddim ldim alloc m_pi rad2deg deg2rad ease_linear ease_quad_in ease_quad_out ease_quad_inout ease_cubic_in ease_cubic_out ease_cubic_inout ease_quartic_in ease_quartic_out ease_quartic_inout ease_bounce_in ease_bounce_out ease_bounce_inout ease_shake_in ease_shake_out ease_shake_inout ease_loop"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{className:"string",begin:/\{"/,end:/"\}/,contains:[e.BACKSLASH_ESCAPE]},e.COMMENT(";","$",{relevance:0}),{className:"meta",begin:"#",end:"$",keywords:{keyword:"addion cfunc cmd cmpopt comfunc const defcfunc deffunc define else endif enum epack func global if ifdef ifndef include modcfunc modfunc modinit modterm module pack packopt regcmd runtime undef usecom uselib"},contains:[e.inherit(e.QUOTE_STRING_MODE,{className:"string"}),e.NUMBER_MODE,e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"symbol",begin:"^\\*(\\w+|@)"},e.NUMBER_MODE,e.C_NUMBER_MODE]}}var gW=fW;function hW(e){const t=e.regex,n="HTTP/([32]|1\\.[01])",r=/[A-Za-z][A-Za-z0-9-]*/,a={className:"attribute",begin:t.concat("^",r,"(?=\\:\\s)"),starts:{contains:[{className:"punctuation",begin:/: /,relevance:0,starts:{end:"$",relevance:0}}]}},l=[a,{begin:"\\n\\n",starts:{subLanguage:[],endsWithParent:!0}}];return{name:"HTTP",aliases:["https"],illegal:/\S/,contains:[{begin:"^(?="+n+" \\d{3})",end:/$/,contains:[{className:"meta",begin:n},{className:"number",begin:"\\b\\d{3}\\b"}],starts:{end:/\b\B/,illegal:/\S/,contains:l}},{begin:"(?=^[A-Z]+ (.*?) "+n+"$)",end:/$/,contains:[{className:"string",begin:" ",end:" ",excludeBegin:!0,excludeEnd:!0},{className:"meta",begin:n},{className:"keyword",begin:"[A-Z]+"}],starts:{end:/\b\B/,illegal:/\S/,contains:l}},e.inherit(a,{relevance:0})]}}var EW=hW;function SW(e){const t="a-zA-Z_\\-!.?+*=<>&#'",n="["+t+"]["+t+"0-9/;:]*",r={$pattern:n,built_in:"!= % %= & &= * ** **= *= *map + += , --build-class-- --import-- -= . / // //= /= < << <<= <= = > >= >> >>= @ @= ^ ^= abs accumulate all and any ap-compose ap-dotimes ap-each ap-each-while ap-filter ap-first ap-if ap-last ap-map ap-map-when ap-pipe ap-reduce ap-reject apply as-> ascii assert assoc bin break butlast callable calling-module-name car case cdr chain chr coll? combinations compile compress cond cons cons? continue count curry cut cycle dec def default-method defclass defmacro defmacro-alias defmacro/g! defmain defmethod defmulti defn defn-alias defnc defnr defreader defseq del delattr delete-route dict-comp dir disassemble dispatch-reader-macro distinct divmod do doto drop drop-last drop-while empty? end-sequence eval eval-and-compile eval-when-compile even? every? except exec filter first flatten float? fn fnc fnr for for* format fraction genexpr gensym get getattr global globals group-by hasattr hash hex id identity if if* if-not if-python2 import in inc input instance? integer integer-char? integer? interleave interpose is is-coll is-cons is-empty is-even is-every is-float is-instance is-integer is-integer-char is-iterable is-iterator is-keyword is-neg is-none is-not is-numeric is-odd is-pos is-string is-symbol is-zero isinstance islice issubclass iter iterable? iterate iterator? keyword keyword? lambda last len let lif lif-not list* list-comp locals loop macro-error macroexpand macroexpand-1 macroexpand-all map max merge-with method-decorator min multi-decorator multicombinations name neg? next none? nonlocal not not-in not? nth numeric? oct odd? open or ord partition permutations pos? post-route postwalk pow prewalk print product profile/calls profile/cpu put-route quasiquote quote raise range read read-str recursive-replace reduce remove repeat repeatedly repr require rest round route route-with-methods rwm second seq set-comp setattr setv some sorted string string? sum switch symbol? take take-nth take-while tee try unless unquote unquote-splicing vars walk when while with with* with-decorator with-gensyms xi xor yield yield-from zero? zip zip-longest | |= ~"},a="[-+]?\\d+(\\.\\d+)?",l={begin:n,relevance:0},u={className:"number",begin:a,relevance:0},c=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),d=e.COMMENT(";","$",{relevance:0}),g={className:"literal",begin:/\b([Tt]rue|[Ff]alse|nil|None)\b/},f={begin:"[\\[\\{]",end:"[\\]\\}]",relevance:0},h={className:"comment",begin:"\\^"+n},p=e.COMMENT("\\^\\{","\\}"),m={className:"symbol",begin:"[:]{1,2}"+n},y={begin:"\\(",end:"\\)"},b={endsWithParent:!0,relevance:0},C={className:"name",relevance:0,keywords:r,begin:n,starts:b},E=[y,c,h,p,d,m,f,u,g,l];return y.contains=[e.COMMENT("comment",""),C,b],b.contains=E,f.contains=E,{name:"Hy",aliases:["hylang"],illegal:/\S/,contains:[e.SHEBANG(),y,c,h,p,d,m,f,u,g]}}var bW=SW;function vW(e){const t="\\[",n="\\]";return{name:"Inform 7",aliases:["i7"],case_insensitive:!0,keywords:{keyword:"thing room person man woman animal container supporter backdrop door scenery open closed locked inside gender is are say understand kind of rule"},contains:[{className:"string",begin:'"',end:'"',relevance:0,contains:[{className:"subst",begin:t,end:n}]},{className:"section",begin:/^(Volume|Book|Part|Chapter|Section|Table)\b/,end:"$"},{begin:/^(Check|Carry out|Report|Instead of|To|Rule|When|Before|After)\b/,end:":",contains:[{begin:"\\(This",end:"\\)"}]},{className:"comment",begin:t,end:n,contains:["self"]}]}}var TW=vW;function yW(e){const t=e.regex,n={className:"number",relevance:0,variants:[{begin:/([+-]+)?[\d]+_[\d_]+/},{begin:e.NUMBER_RE}]},r=e.COMMENT();r.variants=[{begin:/;/,end:/$/},{begin:/#/,end:/$/}];const a={className:"variable",variants:[{begin:/\$[\w\d"][\w\d_]*/},{begin:/\$\{(.*?)\}/}]},l={className:"literal",begin:/\bon|off|true|false|yes|no\b/},u={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:"'''",end:"'''",relevance:10},{begin:'"""',end:'"""',relevance:10},{begin:'"',end:'"'},{begin:"'",end:"'"}]},c={begin:/\[/,end:/\]/,contains:[r,l,a,u,n,"self"],relevance:0},d=/[A-Za-z0-9_-]+/,g=/"(\\"|[^"])*"/,f=/'[^']*'/,h=t.either(d,g,f),p=t.concat(h,"(\\s*\\.\\s*",h,")*",t.lookahead(/\s*=\s*[^#\s]/));return{name:"TOML, also INI",aliases:["toml"],case_insensitive:!0,illegal:/\S/,contains:[r,{className:"section",begin:/\[+/,end:/\]+/},{begin:p,className:"attr",starts:{end:/$/,contains:[r,c,l,a,u,n]}}]}}var CW=yW;function RW(e){const t=e.regex,n={className:"params",begin:"\\(",end:"\\)"},r=/(_[a-z_\d]+)?/,a=/([de][+-]?\d+)?/,l={className:"number",variants:[{begin:t.concat(/\b\d+/,/\.(\d*)/,a,r)},{begin:t.concat(/\b\d+/,a,r)},{begin:t.concat(/\.\d+/,a,r)}],relevance:0};return{name:"IRPF90",case_insensitive:!0,keywords:{literal:".False. .True.",keyword:"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch soft_touch provide no_dep free irp_if irp_else irp_endif irp_write irp_read",built_in:"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image IRP_ALIGN irp_here"},illegal:/\/\*/,contains:[e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{className:"string",relevance:0}),{className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[e.UNDERSCORE_TITLE_MODE,n]},e.COMMENT("!","$",{relevance:0}),e.COMMENT("begin_doc","end_doc",{relevance:10}),l]}}var OW=RW;function NW(e){const t="[A-Za-z\u0410-\u042F\u0430-\u044F\u0451\u0401_!][A-Za-z\u0410-\u042F\u0430-\u044F\u0451\u0401_0-9]*",n="[A-Za-z\u0410-\u042F\u0430-\u044F\u0451\u0401_][A-Za-z\u0410-\u042F\u0430-\u044F\u0451\u0401_0-9]*",r="and \u0438 else \u0438\u043D\u0430\u0447\u0435 endexcept endfinally endforeach \u043A\u043E\u043D\u0435\u0446\u0432\u0441\u0435 endif \u043A\u043E\u043D\u0435\u0446\u0435\u0441\u043B\u0438 endwhile \u043A\u043E\u043D\u0435\u0446\u043F\u043E\u043A\u0430 except exitfor finally foreach \u0432\u0441\u0435 if \u0435\u0441\u043B\u0438 in \u0432 not \u043D\u0435 or \u0438\u043B\u0438 try while \u043F\u043E\u043A\u0430 ",a="SYSRES_CONST_ACCES_RIGHT_TYPE_EDIT SYSRES_CONST_ACCES_RIGHT_TYPE_FULL SYSRES_CONST_ACCES_RIGHT_TYPE_VIEW SYSRES_CONST_ACCESS_MODE_REQUISITE_CODE SYSRES_CONST_ACCESS_NO_ACCESS_VIEW SYSRES_CONST_ACCESS_NO_ACCESS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW SYSRES_CONST_ACCESS_RIGHTS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_TYPE_CHANGE SYSRES_CONST_ACCESS_TYPE_CHANGE_CODE SYSRES_CONST_ACCESS_TYPE_EXISTS SYSRES_CONST_ACCESS_TYPE_EXISTS_CODE SYSRES_CONST_ACCESS_TYPE_FULL SYSRES_CONST_ACCESS_TYPE_FULL_CODE SYSRES_CONST_ACCESS_TYPE_VIEW SYSRES_CONST_ACCESS_TYPE_VIEW_CODE SYSRES_CONST_ACTION_TYPE_ABORT SYSRES_CONST_ACTION_TYPE_ACCEPT SYSRES_CONST_ACTION_TYPE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ADD_ATTACHMENT SYSRES_CONST_ACTION_TYPE_CHANGE_CARD SYSRES_CONST_ACTION_TYPE_CHANGE_KIND SYSRES_CONST_ACTION_TYPE_CHANGE_STORAGE SYSRES_CONST_ACTION_TYPE_CONTINUE SYSRES_CONST_ACTION_TYPE_COPY SYSRES_CONST_ACTION_TYPE_CREATE SYSRES_CONST_ACTION_TYPE_CREATE_VERSION SYSRES_CONST_ACTION_TYPE_DELETE SYSRES_CONST_ACTION_TYPE_DELETE_ATTACHMENT SYSRES_CONST_ACTION_TYPE_DELETE_VERSION SYSRES_CONST_ACTION_TYPE_DISABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE_AND_PASSWORD SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_PASSWORD SYSRES_CONST_ACTION_TYPE_EXPORT_WITH_LOCK SYSRES_CONST_ACTION_TYPE_EXPORT_WITHOUT_LOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITH_UNLOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITHOUT_UNLOCK SYSRES_CONST_ACTION_TYPE_LIFE_CYCLE_STAGE SYSRES_CONST_ACTION_TYPE_LOCK SYSRES_CONST_ACTION_TYPE_LOCK_FOR_SERVER SYSRES_CONST_ACTION_TYPE_LOCK_MODIFY SYSRES_CONST_ACTION_TYPE_MARK_AS_READED SYSRES_CONST_ACTION_TYPE_MARK_AS_UNREADED SYSRES_CONST_ACTION_TYPE_MODIFY SYSRES_CONST_ACTION_TYPE_MODIFY_CARD SYSRES_CONST_ACTION_TYPE_MOVE_TO_ARCHIVE SYSRES_CONST_ACTION_TYPE_OFF_ENCRYPTION SYSRES_CONST_ACTION_TYPE_PASSWORD_CHANGE SYSRES_CONST_ACTION_TYPE_PERFORM SYSRES_CONST_ACTION_TYPE_RECOVER_FROM_LOCAL_COPY SYSRES_CONST_ACTION_TYPE_RESTART SYSRES_CONST_ACTION_TYPE_RESTORE_FROM_ARCHIVE SYSRES_CONST_ACTION_TYPE_REVISION SYSRES_CONST_ACTION_TYPE_SEND_BY_MAIL SYSRES_CONST_ACTION_TYPE_SIGN SYSRES_CONST_ACTION_TYPE_START SYSRES_CONST_ACTION_TYPE_UNLOCK SYSRES_CONST_ACTION_TYPE_UNLOCK_FROM_SERVER SYSRES_CONST_ACTION_TYPE_VERSION_STATE SYSRES_CONST_ACTION_TYPE_VERSION_VISIBILITY SYSRES_CONST_ACTION_TYPE_VIEW SYSRES_CONST_ACTION_TYPE_VIEW_SHADOW_COPY SYSRES_CONST_ACTION_TYPE_WORKFLOW_DESCRIPTION_MODIFY SYSRES_CONST_ACTION_TYPE_WRITE_HISTORY SYSRES_CONST_ACTIVE_VERSION_STATE_PICK_VALUE SYSRES_CONST_ADD_REFERENCE_MODE_NAME SYSRES_CONST_ADDITION_REQUISITE_CODE SYSRES_CONST_ADDITIONAL_PARAMS_REQUISITE_CODE SYSRES_CONST_ADITIONAL_JOB_END_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_READ_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_START_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_STATE_REQUISITE_NAME SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE_ACTION SYSRES_CONST_ALL_ACCEPT_CONDITION_RUS SYSRES_CONST_ALL_USERS_GROUP SYSRES_CONST_ALL_USERS_GROUP_NAME SYSRES_CONST_ALL_USERS_SERVER_GROUP_NAME SYSRES_CONST_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_APP_VIEWER_TYPE_REQUISITE_CODE SYSRES_CONST_APPROVING_SIGNATURE_NAME SYSRES_CONST_APPROVING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE_CODE SYSRES_CONST_ATTACH_TYPE_COMPONENT_TOKEN SYSRES_CONST_ATTACH_TYPE_DOC SYSRES_CONST_ATTACH_TYPE_EDOC SYSRES_CONST_ATTACH_TYPE_FOLDER SYSRES_CONST_ATTACH_TYPE_JOB SYSRES_CONST_ATTACH_TYPE_REFERENCE SYSRES_CONST_ATTACH_TYPE_TASK SYSRES_CONST_AUTH_ENCODED_PASSWORD SYSRES_CONST_AUTH_ENCODED_PASSWORD_CODE SYSRES_CONST_AUTH_NOVELL SYSRES_CONST_AUTH_PASSWORD SYSRES_CONST_AUTH_PASSWORD_CODE SYSRES_CONST_AUTH_WINDOWS SYSRES_CONST_AUTHENTICATING_SIGNATURE_NAME SYSRES_CONST_AUTHENTICATING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_AUTO_ENUM_METHOD_FLAG SYSRES_CONST_AUTO_NUMERATION_CODE SYSRES_CONST_AUTO_STRONG_ENUM_METHOD_FLAG SYSRES_CONST_AUTOTEXT_NAME_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_TEXT_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_USAGE_ALL SYSRES_CONST_AUTOTEXT_USAGE_ALL_CODE SYSRES_CONST_AUTOTEXT_USAGE_SIGN SYSRES_CONST_AUTOTEXT_USAGE_SIGN_CODE SYSRES_CONST_AUTOTEXT_USAGE_WORK SYSRES_CONST_AUTOTEXT_USAGE_WORK_CODE SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE SYSRES_CONST_AUTOTEXT_USE_ON_SIGNING_CODE SYSRES_CONST_AUTOTEXT_USE_ON_WORK_CODE SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE SYSRES_CONST_BLACK_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BLUE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BTN_PART SYSRES_CONST_CALCULATED_ROLE_TYPE_CODE SYSRES_CONST_CALL_TYPE_VARIABLE_BUTTON_VALUE SYSRES_CONST_CALL_TYPE_VARIABLE_PROGRAM_VALUE SYSRES_CONST_CANCEL_MESSAGE_FUNCTION_RESULT SYSRES_CONST_CARD_PART SYSRES_CONST_CARD_REFERENCE_MODE_NAME SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_AND_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_VALUE SYSRES_CONST_CHECK_PARAM_VALUE_DATE_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_FLOAT_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_INTEGER_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_PICK_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_REEFRENCE_PARAM_TYPE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_CODE_COMPONENT_TYPE_ADMIN SYSRES_CONST_CODE_COMPONENT_TYPE_DEVELOPER SYSRES_CONST_CODE_COMPONENT_TYPE_DOCS SYSRES_CONST_CODE_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_CODE_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_CODE_COMPONENT_TYPE_OTHER SYSRES_CONST_CODE_COMPONENT_TYPE_REFERENCE SYSRES_CONST_CODE_COMPONENT_TYPE_REPORT SYSRES_CONST_CODE_COMPONENT_TYPE_SCRIPT SYSRES_CONST_CODE_COMPONENT_TYPE_URL SYSRES_CONST_CODE_REQUISITE_ACCESS SYSRES_CONST_CODE_REQUISITE_CODE SYSRES_CONST_CODE_REQUISITE_COMPONENT SYSRES_CONST_CODE_REQUISITE_DESCRIPTION SYSRES_CONST_CODE_REQUISITE_EXCLUDE_COMPONENT SYSRES_CONST_CODE_REQUISITE_RECORD SYSRES_CONST_COMMENT_REQ_CODE SYSRES_CONST_COMMON_SETTINGS_REQUISITE_CODE SYSRES_CONST_COMP_CODE_GRD SYSRES_CONST_COMPONENT_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_COMPONENT_TYPE_ADMIN_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DEVELOPER_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DOCS SYSRES_CONST_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_COMPONENT_TYPE_EDOCS SYSRES_CONST_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_COMPONENT_TYPE_OTHER SYSRES_CONST_COMPONENT_TYPE_REFERENCE_TYPES SYSRES_CONST_COMPONENT_TYPE_REFERENCES SYSRES_CONST_COMPONENT_TYPE_REPORTS SYSRES_CONST_COMPONENT_TYPE_SCRIPTS SYSRES_CONST_COMPONENT_TYPE_URL SYSRES_CONST_COMPONENTS_REMOTE_SERVERS_VIEW_CODE SYSRES_CONST_CONDITION_BLOCK_DESCRIPTION SYSRES_CONST_CONST_FIRM_STATUS_COMMON SYSRES_CONST_CONST_FIRM_STATUS_INDIVIDUAL SYSRES_CONST_CONST_NEGATIVE_VALUE SYSRES_CONST_CONST_POSITIVE_VALUE SYSRES_CONST_CONST_SERVER_STATUS_DONT_REPLICATE SYSRES_CONST_CONST_SERVER_STATUS_REPLICATE SYSRES_CONST_CONTENTS_REQUISITE_CODE SYSRES_CONST_DATA_TYPE_BOOLEAN SYSRES_CONST_DATA_TYPE_DATE SYSRES_CONST_DATA_TYPE_FLOAT SYSRES_CONST_DATA_TYPE_INTEGER SYSRES_CONST_DATA_TYPE_PICK SYSRES_CONST_DATA_TYPE_REFERENCE SYSRES_CONST_DATA_TYPE_STRING SYSRES_CONST_DATA_TYPE_TEXT SYSRES_CONST_DATA_TYPE_VARIANT SYSRES_CONST_DATE_CLOSE_REQ_CODE SYSRES_CONST_DATE_FORMAT_DATE_ONLY_CHAR SYSRES_CONST_DATE_OPEN_REQ_CODE SYSRES_CONST_DATE_REQUISITE SYSRES_CONST_DATE_REQUISITE_CODE SYSRES_CONST_DATE_REQUISITE_NAME SYSRES_CONST_DATE_REQUISITE_TYPE SYSRES_CONST_DATE_TYPE_CHAR SYSRES_CONST_DATETIME_FORMAT_VALUE SYSRES_CONST_DEA_ACCESS_RIGHTS_ACTION_CODE SYSRES_CONST_DESCRIPTION_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_DET1_PART SYSRES_CONST_DET2_PART SYSRES_CONST_DET3_PART SYSRES_CONST_DET4_PART SYSRES_CONST_DET5_PART SYSRES_CONST_DET6_PART SYSRES_CONST_DETAIL_DATASET_KEY_REQUISITE_CODE SYSRES_CONST_DETAIL_PICK_REQUISITE_CODE SYSRES_CONST_DETAIL_REQ_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_NAME SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_NAME SYSRES_CONST_DOCUMENT_STORAGES_CODE SYSRES_CONST_DOCUMENT_TEMPLATES_TYPE_NAME SYSRES_CONST_DOUBLE_REQUISITE_CODE SYSRES_CONST_EDITOR_CLOSE_FILE_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_CLOSE_PROCESS_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_TYPE_REQUISITE_CODE SYSRES_CONST_EDITORS_APPLICATION_NAME_REQUISITE_CODE SYSRES_CONST_EDITORS_CREATE_SEVERAL_PROCESSES_REQUISITE_CODE SYSRES_CONST_EDITORS_EXTENSION_REQUISITE_CODE SYSRES_CONST_EDITORS_OBSERVER_BY_PROCESS_TYPE SYSRES_CONST_EDITORS_REFERENCE_CODE SYSRES_CONST_EDITORS_REPLACE_SPEC_CHARS_REQUISITE_CODE SYSRES_CONST_EDITORS_USE_PLUGINS_REQUISITE_CODE SYSRES_CONST_EDITORS_VIEW_DOCUMENT_OPENED_TO_EDIT_CODE SYSRES_CONST_EDOC_CARD_TYPE_REQUISITE_CODE SYSRES_CONST_EDOC_CARD_TYPES_LINK_REQUISITE_CODE SYSRES_CONST_EDOC_CERTIFICATE_AND_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_CERTIFICATE_ENCODE_CODE SYSRES_CONST_EDOC_DATE_REQUISITE_CODE SYSRES_CONST_EDOC_KIND_REFERENCE_CODE SYSRES_CONST_EDOC_KINDS_BY_TEMPLATE_ACTION_CODE SYSRES_CONST_EDOC_MANAGE_ACCESS_CODE SYSRES_CONST_EDOC_NONE_ENCODE_CODE SYSRES_CONST_EDOC_NUMBER_REQUISITE_CODE SYSRES_CONST_EDOC_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_READONLY_ACCESS_CODE SYSRES_CONST_EDOC_SHELL_LIFE_TYPE_VIEW_VALUE SYSRES_CONST_EDOC_SIZE_RESTRICTION_PRIORITY_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_CHECK_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_COMPUTER_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_DATABASE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_EDIT_IN_STORAGE_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_LOCAL_PATH_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_SHARED_SOURCE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_EDOC_TYPES_REFERENCE_CODE SYSRES_CONST_EDOC_VERSION_ACTIVE_STAGE_CODE SYSRES_CONST_EDOC_VERSION_DESIGN_STAGE_CODE SYSRES_CONST_EDOC_VERSION_OBSOLETE_STAGE_CODE SYSRES_CONST_EDOC_WRITE_ACCES_CODE SYSRES_CONST_EDOCUMENT_CARD_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_END_DATE_REQUISITE_CODE SYSRES_CONST_ENUMERATION_TYPE_REQUISITE_CODE SYSRES_CONST_EXECUTE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_EXECUTIVE_FILE_STORAGE_TYPE SYSRES_CONST_EXIST_CONST SYSRES_CONST_EXIST_VALUE SYSRES_CONST_EXPORT_LOCK_TYPE_ASK SYSRES_CONST_EXPORT_LOCK_TYPE_WITH_LOCK SYSRES_CONST_EXPORT_LOCK_TYPE_WITHOUT_LOCK SYSRES_CONST_EXPORT_VERSION_TYPE_ASK SYSRES_CONST_EXPORT_VERSION_TYPE_LAST SYSRES_CONST_EXPORT_VERSION_TYPE_LAST_ACTIVE SYSRES_CONST_EXTENSION_REQUISITE_CODE SYSRES_CONST_FILTER_NAME_REQUISITE_CODE SYSRES_CONST_FILTER_REQUISITE_CODE SYSRES_CONST_FILTER_TYPE_COMMON_CODE SYSRES_CONST_FILTER_TYPE_COMMON_NAME SYSRES_CONST_FILTER_TYPE_USER_CODE SYSRES_CONST_FILTER_TYPE_USER_NAME SYSRES_CONST_FILTER_VALUE_REQUISITE_NAME SYSRES_CONST_FLOAT_NUMBER_FORMAT_CHAR SYSRES_CONST_FLOAT_REQUISITE_TYPE SYSRES_CONST_FOLDER_AUTHOR_VALUE SYSRES_CONST_FOLDER_KIND_ANY_OBJECTS SYSRES_CONST_FOLDER_KIND_COMPONENTS SYSRES_CONST_FOLDER_KIND_EDOCS SYSRES_CONST_FOLDER_KIND_JOBS SYSRES_CONST_FOLDER_KIND_TASKS SYSRES_CONST_FOLDER_TYPE_COMMON SYSRES_CONST_FOLDER_TYPE_COMPONENT SYSRES_CONST_FOLDER_TYPE_FAVORITES SYSRES_CONST_FOLDER_TYPE_INBOX SYSRES_CONST_FOLDER_TYPE_OUTBOX SYSRES_CONST_FOLDER_TYPE_QUICK_LAUNCH SYSRES_CONST_FOLDER_TYPE_SEARCH SYSRES_CONST_FOLDER_TYPE_SHORTCUTS SYSRES_CONST_FOLDER_TYPE_USER SYSRES_CONST_FROM_DICTIONARY_ENUM_METHOD_FLAG SYSRES_CONST_FULL_SUBSTITUTE_TYPE SYSRES_CONST_FULL_SUBSTITUTE_TYPE_CODE SYSRES_CONST_FUNCTION_CANCEL_RESULT SYSRES_CONST_FUNCTION_CATEGORY_SYSTEM SYSRES_CONST_FUNCTION_CATEGORY_USER SYSRES_CONST_FUNCTION_FAILURE_RESULT SYSRES_CONST_FUNCTION_SAVE_RESULT SYSRES_CONST_GENERATED_REQUISITE SYSRES_CONST_GREEN_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_GROUP_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_NAME SYSRES_CONST_GROUP_CATEGORY_SERVICE_CODE SYSRES_CONST_GROUP_CATEGORY_SERVICE_NAME SYSRES_CONST_GROUP_COMMON_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_FULL_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_CODES_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_SERVICE_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_USER_REQUISITE_CODE SYSRES_CONST_GROUPS_REFERENCE_CODE SYSRES_CONST_GROUPS_REQUISITE_CODE SYSRES_CONST_HIDDEN_MODE_NAME SYSRES_CONST_HIGH_LVL_REQUISITE_CODE SYSRES_CONST_HISTORY_ACTION_CREATE_CODE SYSRES_CONST_HISTORY_ACTION_DELETE_CODE SYSRES_CONST_HISTORY_ACTION_EDIT_CODE SYSRES_CONST_HOUR_CHAR SYSRES_CONST_ID_REQUISITE_CODE SYSRES_CONST_IDSPS_REQUISITE_CODE SYSRES_CONST_IMAGE_MODE_COLOR SYSRES_CONST_IMAGE_MODE_GREYSCALE SYSRES_CONST_IMAGE_MODE_MONOCHROME SYSRES_CONST_IMPORTANCE_HIGH SYSRES_CONST_IMPORTANCE_LOW SYSRES_CONST_IMPORTANCE_NORMAL SYSRES_CONST_IN_DESIGN_VERSION_STATE_PICK_VALUE SYSRES_CONST_INCOMING_WORK_RULE_TYPE_CODE SYSRES_CONST_INT_REQUISITE SYSRES_CONST_INT_REQUISITE_TYPE SYSRES_CONST_INTEGER_NUMBER_FORMAT_CHAR SYSRES_CONST_INTEGER_TYPE_CHAR SYSRES_CONST_IS_GENERATED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_PUBLIC_ROLE_REQUISITE_CODE SYSRES_CONST_IS_REMOTE_USER_NEGATIVE_VALUE SYSRES_CONST_IS_REMOTE_USER_POSITIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_STORED_VALUE SYSRES_CONST_ITALIC_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_JOB_BLOCK_DESCRIPTION SYSRES_CONST_JOB_KIND_CONTROL_JOB SYSRES_CONST_JOB_KIND_JOB SYSRES_CONST_JOB_KIND_NOTICE SYSRES_CONST_JOB_STATE_ABORTED SYSRES_CONST_JOB_STATE_COMPLETE SYSRES_CONST_JOB_STATE_WORKING SYSRES_CONST_KIND_REQUISITE_CODE SYSRES_CONST_KIND_REQUISITE_NAME SYSRES_CONST_KINDS_CREATE_SHADOW_COPIES_REQUISITE_CODE SYSRES_CONST_KINDS_DEFAULT_EDOC_LIFE_STAGE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALL_TEPLATES_ALLOWED_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_LIFE_CYCLE_STAGE_CHANGING_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_MULTIPLE_ACTIVE_VERSIONS_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_SHARE_ACCES_RIGHTS_BY_DEFAULT_CODE SYSRES_CONST_KINDS_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_TYPE_REQUISITE_CODE SYSRES_CONST_KINDS_SIGNERS_REQUISITES_CODE SYSRES_CONST_KOD_INPUT_TYPE SYSRES_CONST_LAST_UPDATE_DATE_REQUISITE_CODE SYSRES_CONST_LIFE_CYCLE_START_STAGE_REQUISITE_CODE SYSRES_CONST_LILAC_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_LINK_OBJECT_KIND_COMPONENT SYSRES_CONST_LINK_OBJECT_KIND_DOCUMENT SYSRES_CONST_LINK_OBJECT_KIND_EDOC SYSRES_CONST_LINK_OBJECT_KIND_FOLDER SYSRES_CONST_LINK_OBJECT_KIND_JOB SYSRES_CONST_LINK_OBJECT_KIND_REFERENCE SYSRES_CONST_LINK_OBJECT_KIND_TASK SYSRES_CONST_LINK_REF_TYPE_REQUISITE_CODE SYSRES_CONST_LIST_REFERENCE_MODE_NAME SYSRES_CONST_LOCALIZATION_DICTIONARY_MAIN_VIEW_CODE SYSRES_CONST_MAIN_VIEW_CODE SYSRES_CONST_MANUAL_ENUM_METHOD_FLAG SYSRES_CONST_MASTER_COMP_TYPE_REQUISITE_CODE SYSRES_CONST_MASTER_TABLE_REC_ID_REQUISITE_CODE SYSRES_CONST_MAXIMIZED_MODE_NAME SYSRES_CONST_ME_VALUE SYSRES_CONST_MESSAGE_ATTENTION_CAPTION SYSRES_CONST_MESSAGE_CONFIRMATION_CAPTION SYSRES_CONST_MESSAGE_ERROR_CAPTION SYSRES_CONST_MESSAGE_INFORMATION_CAPTION SYSRES_CONST_MINIMIZED_MODE_NAME SYSRES_CONST_MINUTE_CHAR SYSRES_CONST_MODULE_REQUISITE_CODE SYSRES_CONST_MONITORING_BLOCK_DESCRIPTION SYSRES_CONST_MONTH_FORMAT_VALUE SYSRES_CONST_NAME_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_NAME_REQUISITE_CODE SYSRES_CONST_NAME_SINGULAR_REQUISITE_CODE SYSRES_CONST_NAMEAN_INPUT_TYPE SYSRES_CONST_NEGATIVE_PICK_VALUE SYSRES_CONST_NEGATIVE_VALUE SYSRES_CONST_NO SYSRES_CONST_NO_PICK_VALUE SYSRES_CONST_NO_SIGNATURE_REQUISITE_CODE SYSRES_CONST_NO_VALUE SYSRES_CONST_NONE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_NORMAL_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NORMAL_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_NORMAL_MODE_NAME SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_NOTE_REQUISITE_CODE SYSRES_CONST_NOTICE_BLOCK_DESCRIPTION SYSRES_CONST_NUM_REQUISITE SYSRES_CONST_NUM_STR_REQUISITE_CODE SYSRES_CONST_NUMERATION_AUTO_NOT_STRONG SYSRES_CONST_NUMERATION_AUTO_STRONG SYSRES_CONST_NUMERATION_FROM_DICTONARY SYSRES_CONST_NUMERATION_MANUAL SYSRES_CONST_NUMERIC_TYPE_CHAR SYSRES_CONST_NUMREQ_REQUISITE_CODE SYSRES_CONST_OBSOLETE_VERSION_STATE_PICK_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_OPTIONAL_FORM_COMP_REQCODE_PREFIX SYSRES_CONST_ORANGE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_ORIGINALREF_REQUISITE_CODE SYSRES_CONST_OURFIRM_REF_CODE SYSRES_CONST_OURFIRM_REQUISITE_CODE SYSRES_CONST_OURFIRM_VAR SYSRES_CONST_OUTGOING_WORK_RULE_TYPE_CODE SYSRES_CONST_PICK_NEGATIVE_RESULT SYSRES_CONST_PICK_POSITIVE_RESULT SYSRES_CONST_PICK_REQUISITE SYSRES_CONST_PICK_REQUISITE_TYPE SYSRES_CONST_PICK_TYPE_CHAR SYSRES_CONST_PLAN_STATUS_REQUISITE_CODE SYSRES_CONST_PLATFORM_VERSION_COMMENT SYSRES_CONST_PLUGINS_SETTINGS_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_POSITIVE_PICK_VALUE SYSRES_CONST_POWER_TO_CREATE_ACTION_CODE SYSRES_CONST_POWER_TO_SIGN_ACTION_CODE SYSRES_CONST_PRIORITY_REQUISITE_CODE SYSRES_CONST_QUALIFIED_TASK_TYPE SYSRES_CONST_QUALIFIED_TASK_TYPE_CODE SYSRES_CONST_RECSTAT_REQUISITE_CODE SYSRES_CONST_RED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_REF_ID_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_REF_REQUISITE SYSRES_CONST_REF_REQUISITE_TYPE SYSRES_CONST_REF_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_REFERENCE_RECORD_HISTORY_CREATE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_DELETE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_MODIFY_ACTION_CODE SYSRES_CONST_REFERENCE_TYPE_CHAR SYSRES_CONST_REFERENCE_TYPE_REQUISITE_NAME SYSRES_CONST_REFERENCES_ADD_PARAMS_REQUISITE_CODE SYSRES_CONST_REFERENCES_DISPLAY_REQUISITE_REQUISITE_CODE SYSRES_CONST_REMOTE_SERVER_STATUS_WORKING SYSRES_CONST_REMOTE_SERVER_TYPE_MAIN SYSRES_CONST_REMOTE_SERVER_TYPE_SECONDARY SYSRES_CONST_REMOTE_USER_FLAG_VALUE_CODE SYSRES_CONST_REPORT_APP_EDITOR_INTERNAL SYSRES_CONST_REPORT_BASE_REPORT_ID_REQUISITE_CODE SYSRES_CONST_REPORT_BASE_REPORT_REQUISITE_CODE SYSRES_CONST_REPORT_SCRIPT_REQUISITE_CODE SYSRES_CONST_REPORT_TEMPLATE_REQUISITE_CODE SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE SYSRES_CONST_REQ_ALLOW_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_RECORD_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_SERVER_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_MODE_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_EDIT_CODE SYSRES_CONST_REQ_MODE_HIDDEN_CODE SYSRES_CONST_REQ_MODE_NOT_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_VIEW_CODE SYSRES_CONST_REQ_NUMBER_REQUISITE_CODE SYSRES_CONST_REQ_SECTION_VALUE SYSRES_CONST_REQ_TYPE_VALUE SYSRES_CONST_REQUISITE_FORMAT_BY_UNIT SYSRES_CONST_REQUISITE_FORMAT_DATE_FULL SYSRES_CONST_REQUISITE_FORMAT_DATE_TIME SYSRES_CONST_REQUISITE_FORMAT_LEFT SYSRES_CONST_REQUISITE_FORMAT_RIGHT SYSRES_CONST_REQUISITE_FORMAT_WITHOUT_UNIT SYSRES_CONST_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_REQUISITE_SECTION_ACTIONS SYSRES_CONST_REQUISITE_SECTION_BUTTON SYSRES_CONST_REQUISITE_SECTION_BUTTONS SYSRES_CONST_REQUISITE_SECTION_CARD SYSRES_CONST_REQUISITE_SECTION_TABLE SYSRES_CONST_REQUISITE_SECTION_TABLE10 SYSRES_CONST_REQUISITE_SECTION_TABLE11 SYSRES_CONST_REQUISITE_SECTION_TABLE12 SYSRES_CONST_REQUISITE_SECTION_TABLE13 SYSRES_CONST_REQUISITE_SECTION_TABLE14 SYSRES_CONST_REQUISITE_SECTION_TABLE15 SYSRES_CONST_REQUISITE_SECTION_TABLE16 SYSRES_CONST_REQUISITE_SECTION_TABLE17 SYSRES_CONST_REQUISITE_SECTION_TABLE18 SYSRES_CONST_REQUISITE_SECTION_TABLE19 SYSRES_CONST_REQUISITE_SECTION_TABLE2 SYSRES_CONST_REQUISITE_SECTION_TABLE20 SYSRES_CONST_REQUISITE_SECTION_TABLE21 SYSRES_CONST_REQUISITE_SECTION_TABLE22 SYSRES_CONST_REQUISITE_SECTION_TABLE23 SYSRES_CONST_REQUISITE_SECTION_TABLE24 SYSRES_CONST_REQUISITE_SECTION_TABLE3 SYSRES_CONST_REQUISITE_SECTION_TABLE4 SYSRES_CONST_REQUISITE_SECTION_TABLE5 SYSRES_CONST_REQUISITE_SECTION_TABLE6 SYSRES_CONST_REQUISITE_SECTION_TABLE7 SYSRES_CONST_REQUISITE_SECTION_TABLE8 SYSRES_CONST_REQUISITE_SECTION_TABLE9 SYSRES_CONST_REQUISITES_PSEUDOREFERENCE_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_RIGHT_ALIGNMENT_CODE SYSRES_CONST_ROLES_REFERENCE_CODE SYSRES_CONST_ROUTE_STEP_AFTER_RUS SYSRES_CONST_ROUTE_STEP_AND_CONDITION_RUS SYSRES_CONST_ROUTE_STEP_OR_CONDITION_RUS SYSRES_CONST_ROUTE_TYPE_COMPLEX SYSRES_CONST_ROUTE_TYPE_PARALLEL SYSRES_CONST_ROUTE_TYPE_SERIAL SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE SYSRES_CONST_SBDATASETDESC_POSITIVE_VALUE SYSRES_CONST_SBVIEWSDESC_POSITIVE_VALUE SYSRES_CONST_SCRIPT_BLOCK_DESCRIPTION SYSRES_CONST_SEARCH_BY_TEXT_REQUISITE_CODE SYSRES_CONST_SEARCHES_COMPONENT_CONTENT SYSRES_CONST_SEARCHES_CRITERIA_ACTION_NAME SYSRES_CONST_SEARCHES_EDOC_CONTENT SYSRES_CONST_SEARCHES_FOLDER_CONTENT SYSRES_CONST_SEARCHES_JOB_CONTENT SYSRES_CONST_SEARCHES_REFERENCE_CODE SYSRES_CONST_SEARCHES_TASK_CONTENT SYSRES_CONST_SECOND_CHAR SYSRES_CONST_SECTION_REQUISITE_ACTIONS_VALUE SYSRES_CONST_SECTION_REQUISITE_CARD_VALUE SYSRES_CONST_SECTION_REQUISITE_CODE SYSRES_CONST_SECTION_REQUISITE_DETAIL_1_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_2_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_3_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_4_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_5_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_6_VALUE SYSRES_CONST_SELECT_REFERENCE_MODE_NAME SYSRES_CONST_SELECT_TYPE_SELECTABLE SYSRES_CONST_SELECT_TYPE_SELECTABLE_ONLY_CHILD SYSRES_CONST_SELECT_TYPE_SELECTABLE_WITH_CHILD SYSRES_CONST_SELECT_TYPE_UNSLECTABLE SYSRES_CONST_SERVER_TYPE_MAIN SYSRES_CONST_SERVICE_USER_CATEGORY_FIELD_VALUE SYSRES_CONST_SETTINGS_USER_REQUISITE_CODE SYSRES_CONST_SIGNATURE_AND_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SIGNATURE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SINGULAR_TITLE_REQUISITE_CODE SYSRES_CONST_SQL_SERVER_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_SQL_SERVER_ENCODE_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_STANDART_ROUTES_GROUPS_REFERENCE_CODE SYSRES_CONST_STATE_REQ_NAME SYSRES_CONST_STATE_REQUISITE_ACTIVE_VALUE SYSRES_CONST_STATE_REQUISITE_CLOSED_VALUE SYSRES_CONST_STATE_REQUISITE_CODE SYSRES_CONST_STATIC_ROLE_TYPE_CODE SYSRES_CONST_STATUS_PLAN_DEFAULT_VALUE SYSRES_CONST_STATUS_VALUE_AUTOCLEANING SYSRES_CONST_STATUS_VALUE_BLUE_SQUARE SYSRES_CONST_STATUS_VALUE_COMPLETE SYSRES_CONST_STATUS_VALUE_GREEN_SQUARE SYSRES_CONST_STATUS_VALUE_ORANGE_SQUARE SYSRES_CONST_STATUS_VALUE_PURPLE_SQUARE SYSRES_CONST_STATUS_VALUE_RED_SQUARE SYSRES_CONST_STATUS_VALUE_SUSPEND SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE SYSRES_CONST_STDROUTE_SHOW_TO_USERS_REQUISITE_CODE SYSRES_CONST_STORAGE_TYPE_FILE SYSRES_CONST_STORAGE_TYPE_SQL_SERVER SYSRES_CONST_STR_REQUISITE SYSRES_CONST_STRIKEOUT_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_STRING_FORMAT_LEFT_ALIGN_CHAR SYSRES_CONST_STRING_FORMAT_RIGHT_ALIGN_CHAR SYSRES_CONST_STRING_REQUISITE_CODE SYSRES_CONST_STRING_REQUISITE_TYPE SYSRES_CONST_STRING_TYPE_CHAR SYSRES_CONST_SUBSTITUTES_PSEUDOREFERENCE_CODE SYSRES_CONST_SUBTASK_BLOCK_DESCRIPTION SYSRES_CONST_SYSTEM_SETTING_CURRENT_USER_PARAM_VALUE SYSRES_CONST_SYSTEM_SETTING_EMPTY_VALUE_PARAM_VALUE SYSRES_CONST_SYSTEM_VERSION_COMMENT SYSRES_CONST_TASK_ACCESS_TYPE_ALL SYSRES_CONST_TASK_ACCESS_TYPE_ALL_MEMBERS SYSRES_CONST_TASK_ACCESS_TYPE_MANUAL SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION_AND_PASSWORD SYSRES_CONST_TASK_ENCODE_TYPE_NONE SYSRES_CONST_TASK_ENCODE_TYPE_PASSWORD SYSRES_CONST_TASK_ROUTE_ALL_CONDITION SYSRES_CONST_TASK_ROUTE_AND_CONDITION SYSRES_CONST_TASK_ROUTE_OR_CONDITION SYSRES_CONST_TASK_STATE_ABORTED SYSRES_CONST_TASK_STATE_COMPLETE SYSRES_CONST_TASK_STATE_CONTINUED SYSRES_CONST_TASK_STATE_CONTROL SYSRES_CONST_TASK_STATE_INIT SYSRES_CONST_TASK_STATE_WORKING SYSRES_CONST_TASK_TITLE SYSRES_CONST_TASK_TYPES_GROUPS_REFERENCE_CODE SYSRES_CONST_TASK_TYPES_REFERENCE_CODE SYSRES_CONST_TEMPLATES_REFERENCE_CODE SYSRES_CONST_TEST_DATE_REQUISITE_NAME SYSRES_CONST_TEST_DEV_DATABASE_NAME SYSRES_CONST_TEST_DEV_SYSTEM_CODE SYSRES_CONST_TEST_EDMS_DATABASE_NAME SYSRES_CONST_TEST_EDMS_MAIN_CODE SYSRES_CONST_TEST_EDMS_MAIN_DB_NAME SYSRES_CONST_TEST_EDMS_SECOND_CODE SYSRES_CONST_TEST_EDMS_SECOND_DB_NAME SYSRES_CONST_TEST_EDMS_SYSTEM_CODE SYSRES_CONST_TEST_NUMERIC_REQUISITE_NAME SYSRES_CONST_TEXT_REQUISITE SYSRES_CONST_TEXT_REQUISITE_CODE SYSRES_CONST_TEXT_REQUISITE_TYPE SYSRES_CONST_TEXT_TYPE_CHAR SYSRES_CONST_TYPE_CODE_REQUISITE_CODE SYSRES_CONST_TYPE_REQUISITE_CODE SYSRES_CONST_UNDEFINED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_UNITS_SECTION_ID_REQUISITE_CODE SYSRES_CONST_UNITS_SECTION_REQUISITE_CODE SYSRES_CONST_UNOPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_NAME SYSRES_CONST_USE_ACCESS_TYPE_CODE SYSRES_CONST_USE_ACCESS_TYPE_NAME SYSRES_CONST_USER_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_USER_ADDITIONAL_INFORMATION_REQUISITE_CODE SYSRES_CONST_USER_AND_GROUP_ID_FROM_PSEUDOREFERENCE_REQUISITE_CODE SYSRES_CONST_USER_CATEGORY_NORMAL SYSRES_CONST_USER_CERTIFICATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_STATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_SUBJECT_NAME_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_THUMBPRINT_REQUISITE_CODE SYSRES_CONST_USER_COMMON_CATEGORY SYSRES_CONST_USER_COMMON_CATEGORY_CODE SYSRES_CONST_USER_FULL_NAME_REQUISITE_CODE SYSRES_CONST_USER_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_USER_LOGIN_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_SYSTEM_REQUISITE_CODE SYSRES_CONST_USER_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_USER_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_USER_SERVICE_CATEGORY SYSRES_CONST_USER_SERVICE_CATEGORY_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_NAME SYSRES_CONST_USER_STATUS_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_DEVELOPER_NAME SYSRES_CONST_USER_STATUS_DISABLED_CODE SYSRES_CONST_USER_STATUS_DISABLED_NAME SYSRES_CONST_USER_STATUS_SYSTEM_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_USER_CODE SYSRES_CONST_USER_STATUS_USER_NAME SYSRES_CONST_USER_STATUS_USER_NAME_DEPRECATED SYSRES_CONST_USER_TYPE_FIELD_VALUE_USER SYSRES_CONST_USER_TYPE_REQUISITE_CODE SYSRES_CONST_USERS_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USERS_IS_MAIN_SERVER_REQUISITE_CODE SYSRES_CONST_USERS_REFERENCE_CODE SYSRES_CONST_USERS_REGISTRATION_CERTIFICATES_ACTION_NAME SYSRES_CONST_USERS_REQUISITE_CODE SYSRES_CONST_USERS_SYSTEM_REQUISITE_CODE SYSRES_CONST_USERS_USER_ACCESS_RIGHTS_TYPR_REQUISITE_CODE SYSRES_CONST_USERS_USER_AUTHENTICATION_REQUISITE_CODE SYSRES_CONST_USERS_USER_COMPONENT_REQUISITE_CODE SYSRES_CONST_USERS_USER_GROUP_REQUISITE_CODE SYSRES_CONST_USERS_VIEW_CERTIFICATES_ACTION_NAME SYSRES_CONST_VIEW_DEFAULT_CODE SYSRES_CONST_VIEW_DEFAULT_NAME SYSRES_CONST_VIEWER_REQUISITE_CODE SYSRES_CONST_WAITING_BLOCK_DESCRIPTION SYSRES_CONST_WIZARD_FORM_LABEL_TEST_STRING SYSRES_CONST_WIZARD_QUERY_PARAM_HEIGHT_ETALON_STRING SYSRES_CONST_WIZARD_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_WORK_RULES_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_WORK_TIME_CALENDAR_REFERENCE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORK_WORKFLOW_SOFT_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORKFLOW_ROUTE_TYPR_HARD SYSRES_CONST_WORKFLOW_ROUTE_TYPR_SOFT SYSRES_CONST_XML_ENCODING SYSRES_CONST_XREC_STAT_REQUISITE_CODE SYSRES_CONST_XRECID_FIELD_NAME SYSRES_CONST_YES SYSRES_CONST_YES_NO_2_REQUISITE_CODE SYSRES_CONST_YES_NO_REQUISITE_CODE SYSRES_CONST_YES_NO_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_YES_PICK_VALUE SYSRES_CONST_YES_VALUE ",l="CR FALSE nil NO_VALUE NULL TAB TRUE YES_VALUE ",u="ADMINISTRATORS_GROUP_NAME CUSTOMIZERS_GROUP_NAME DEVELOPERS_GROUP_NAME SERVICE_USERS_GROUP_NAME ",c="DECISION_BLOCK_FIRST_OPERAND_PROPERTY DECISION_BLOCK_NAME_PROPERTY DECISION_BLOCK_OPERATION_PROPERTY DECISION_BLOCK_RESULT_TYPE_PROPERTY DECISION_BLOCK_SECOND_OPERAND_PROPERTY ",d="ANY_FILE_EXTENTION COMPRESSED_DOCUMENT_EXTENSION EXTENDED_DOCUMENT_EXTENSION SHORT_COMPRESSED_DOCUMENT_EXTENSION SHORT_EXTENDED_DOCUMENT_EXTENSION ",g="JOB_BLOCK_ABORT_DEADLINE_PROPERTY JOB_BLOCK_AFTER_FINISH_EVENT JOB_BLOCK_AFTER_QUERY_PARAMETERS_EVENT JOB_BLOCK_ATTACHMENT_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY JOB_BLOCK_BEFORE_QUERY_PARAMETERS_EVENT JOB_BLOCK_BEFORE_START_EVENT JOB_BLOCK_CREATED_JOBS_PROPERTY JOB_BLOCK_DEADLINE_PROPERTY JOB_BLOCK_EXECUTION_RESULTS_PROPERTY JOB_BLOCK_IS_PARALLEL_PROPERTY JOB_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY JOB_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY JOB_BLOCK_JOB_TEXT_PROPERTY JOB_BLOCK_NAME_PROPERTY JOB_BLOCK_NEED_SIGN_ON_PERFORM_PROPERTY JOB_BLOCK_PERFORMER_PROPERTY JOB_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY JOB_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY JOB_BLOCK_SUBJECT_PROPERTY ",f="ENGLISH_LANGUAGE_CODE RUSSIAN_LANGUAGE_CODE ",h="smHidden smMaximized smMinimized smNormal wmNo wmYes ",p="COMPONENT_TOKEN_LINK_KIND DOCUMENT_LINK_KIND EDOCUMENT_LINK_KIND FOLDER_LINK_KIND JOB_LINK_KIND REFERENCE_LINK_KIND TASK_LINK_KIND ",m="COMPONENT_TOKEN_LOCK_TYPE EDOCUMENT_VERSION_LOCK_TYPE ",y="MONITOR_BLOCK_AFTER_FINISH_EVENT MONITOR_BLOCK_BEFORE_START_EVENT MONITOR_BLOCK_DEADLINE_PROPERTY MONITOR_BLOCK_INTERVAL_PROPERTY MONITOR_BLOCK_INTERVAL_TYPE_PROPERTY MONITOR_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY MONITOR_BLOCK_NAME_PROPERTY MONITOR_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY MONITOR_BLOCK_SEARCH_SCRIPT_PROPERTY ",b="NOTICE_BLOCK_AFTER_FINISH_EVENT NOTICE_BLOCK_ATTACHMENT_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY NOTICE_BLOCK_BEFORE_START_EVENT NOTICE_BLOCK_CREATED_NOTICES_PROPERTY NOTICE_BLOCK_DEADLINE_PROPERTY NOTICE_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY NOTICE_BLOCK_NAME_PROPERTY NOTICE_BLOCK_NOTICE_TEXT_PROPERTY NOTICE_BLOCK_PERFORMER_PROPERTY NOTICE_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY NOTICE_BLOCK_SUBJECT_PROPERTY ",C="dseAfterCancel dseAfterClose dseAfterDelete dseAfterDeleteOutOfTransaction dseAfterInsert dseAfterOpen dseAfterScroll dseAfterUpdate dseAfterUpdateOutOfTransaction dseBeforeCancel dseBeforeClose dseBeforeDelete dseBeforeDetailUpdate dseBeforeInsert dseBeforeOpen dseBeforeUpdate dseOnAnyRequisiteChange dseOnCloseRecord dseOnDeleteError dseOnOpenRecord dseOnPrepareUpdate dseOnUpdateError dseOnUpdateRatifiedRecord dseOnValidDelete dseOnValidUpdate reOnChange reOnChangeValues SELECTION_BEGIN_ROUTE_EVENT SELECTION_END_ROUTE_EVENT ",E="CURRENT_PERIOD_IS_REQUIRED PREVIOUS_CARD_TYPE_NAME SHOW_RECORD_PROPERTIES_FORM ",v="ACCESS_RIGHTS_SETTING_DIALOG_CODE ADMINISTRATOR_USER_CODE ANALYTIC_REPORT_TYPE asrtHideLocal asrtHideRemote CALCULATED_ROLE_TYPE_CODE COMPONENTS_REFERENCE_DEVELOPER_VIEW_CODE DCTS_TEST_PROTOCOLS_FOLDER_PATH E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED_BY_USER E_EDOC_VERSION_ALREDY_SIGNED E_EDOC_VERSION_ALREDY_SIGNED_BY_USER EDOC_TYPES_CODE_REQUISITE_FIELD_NAME EDOCUMENTS_ALIAS_NAME FILES_FOLDER_PATH FILTER_OPERANDS_DELIMITER FILTER_OPERATIONS_DELIMITER FORMCARD_NAME FORMLIST_NAME GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE GET_EXTENDED_DOCUMENT_EXTENSION_IMPORT_MODE INTEGRATED_REPORT_TYPE IS_BUILDER_APPLICATION_ROLE IS_BUILDER_APPLICATION_ROLE2 IS_BUILDER_USERS ISBSYSDEV LOG_FOLDER_PATH mbCancel mbNo mbNoToAll mbOK mbYes mbYesToAll MEMORY_DATASET_DESRIPTIONS_FILENAME mrNo mrNoToAll mrYes mrYesToAll MULTIPLE_SELECT_DIALOG_CODE NONOPERATING_RECORD_FLAG_FEMININE NONOPERATING_RECORD_FLAG_MASCULINE OPERATING_RECORD_FLAG_FEMININE OPERATING_RECORD_FLAG_MASCULINE PROFILING_SETTINGS_COMMON_SETTINGS_CODE_VALUE PROGRAM_INITIATED_LOOKUP_ACTION ratDelete ratEdit ratInsert REPORT_TYPE REQUIRED_PICK_VALUES_VARIABLE rmCard rmList SBRTE_PROGID_DEV SBRTE_PROGID_RELEASE STATIC_ROLE_TYPE_CODE SUPPRESS_EMPTY_TEMPLATE_CREATION SYSTEM_USER_CODE UPDATE_DIALOG_DATASET USED_IN_OBJECT_HINT_PARAM USER_INITIATED_LOOKUP_ACTION USER_NAME_FORMAT USER_SELECTION_RESTRICTIONS WORKFLOW_TEST_PROTOCOLS_FOLDER_PATH ELS_SUBTYPE_CONTROL_NAME ELS_FOLDER_KIND_CONTROL_NAME REPEAT_PROCESS_CURRENT_OBJECT_EXCEPTION_NAME ",O="PRIVILEGE_COMPONENT_FULL_ACCESS PRIVILEGE_DEVELOPMENT_EXPORT PRIVILEGE_DEVELOPMENT_IMPORT PRIVILEGE_DOCUMENT_DELETE PRIVILEGE_ESD PRIVILEGE_FOLDER_DELETE PRIVILEGE_MANAGE_ACCESS_RIGHTS PRIVILEGE_MANAGE_REPLICATION PRIVILEGE_MANAGE_SESSION_SERVER PRIVILEGE_OBJECT_FULL_ACCESS PRIVILEGE_OBJECT_VIEW PRIVILEGE_RESERVE_LICENSE PRIVILEGE_SYSTEM_CUSTOMIZE PRIVILEGE_SYSTEM_DEVELOP PRIVILEGE_SYSTEM_INSTALL PRIVILEGE_TASK_DELETE PRIVILEGE_USER_PLUGIN_SETTINGS_CUSTOMIZE PRIVILEGES_PSEUDOREFERENCE_CODE ",S="ACCESS_TYPES_PSEUDOREFERENCE_CODE ALL_AVAILABLE_COMPONENTS_PSEUDOREFERENCE_CODE ALL_AVAILABLE_PRIVILEGES_PSEUDOREFERENCE_CODE ALL_REPLICATE_COMPONENTS_PSEUDOREFERENCE_CODE AVAILABLE_DEVELOPERS_COMPONENTS_PSEUDOREFERENCE_CODE COMPONENTS_PSEUDOREFERENCE_CODE FILTRATER_SETTINGS_CONFLICTS_PSEUDOREFERENCE_CODE GROUPS_PSEUDOREFERENCE_CODE RECEIVE_PROTOCOL_PSEUDOREFERENCE_CODE REFERENCE_REQUISITE_PSEUDOREFERENCE_CODE REFERENCE_REQUISITES_PSEUDOREFERENCE_CODE REFTYPES_PSEUDOREFERENCE_CODE REPLICATION_SEANCES_DIARY_PSEUDOREFERENCE_CODE SEND_PROTOCOL_PSEUDOREFERENCE_CODE SUBSTITUTES_PSEUDOREFERENCE_CODE SYSTEM_SETTINGS_PSEUDOREFERENCE_CODE UNITS_PSEUDOREFERENCE_CODE USERS_PSEUDOREFERENCE_CODE VIEWERS_PSEUDOREFERENCE_CODE ",A="CERTIFICATE_TYPE_ENCRYPT CERTIFICATE_TYPE_SIGN CERTIFICATE_TYPE_SIGN_AND_ENCRYPT ",P="STORAGE_TYPE_FILE STORAGE_TYPE_NAS_CIFS STORAGE_TYPE_SAPERION STORAGE_TYPE_SQL_SERVER ",F="COMPTYPE2_REQUISITE_DOCUMENTS_VALUE COMPTYPE2_REQUISITE_TASKS_VALUE COMPTYPE2_REQUISITE_FOLDERS_VALUE COMPTYPE2_REQUISITE_REFERENCES_VALUE ",G="SYSREQ_CODE SYSREQ_COMPTYPE2 SYSREQ_CONST_AVAILABLE_FOR_WEB SYSREQ_CONST_COMMON_CODE SYSREQ_CONST_COMMON_VALUE SYSREQ_CONST_FIRM_CODE SYSREQ_CONST_FIRM_STATUS SYSREQ_CONST_FIRM_VALUE SYSREQ_CONST_SERVER_STATUS SYSREQ_CONTENTS SYSREQ_DATE_OPEN SYSREQ_DATE_CLOSE SYSREQ_DESCRIPTION SYSREQ_DESCRIPTION_LOCALIZE_ID SYSREQ_DOUBLE SYSREQ_EDOC_ACCESS_TYPE SYSREQ_EDOC_AUTHOR SYSREQ_EDOC_CREATED SYSREQ_EDOC_DELEGATE_RIGHTS_REQUISITE_CODE SYSREQ_EDOC_EDITOR SYSREQ_EDOC_ENCODE_TYPE SYSREQ_EDOC_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_EXPORT_DATE SYSREQ_EDOC_EXPORTER SYSREQ_EDOC_KIND SYSREQ_EDOC_LIFE_STAGE_NAME SYSREQ_EDOC_LOCKED_FOR_SERVER_CODE SYSREQ_EDOC_MODIFIED SYSREQ_EDOC_NAME SYSREQ_EDOC_NOTE SYSREQ_EDOC_QUALIFIED_ID SYSREQ_EDOC_SESSION_KEY SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_SIGNATURE_TYPE SYSREQ_EDOC_SIGNED SYSREQ_EDOC_STORAGE SYSREQ_EDOC_STORAGES_ARCHIVE_STORAGE SYSREQ_EDOC_STORAGES_CHECK_RIGHTS SYSREQ_EDOC_STORAGES_COMPUTER_NAME SYSREQ_EDOC_STORAGES_EDIT_IN_STORAGE SYSREQ_EDOC_STORAGES_EXECUTIVE_STORAGE SYSREQ_EDOC_STORAGES_FUNCTION SYSREQ_EDOC_STORAGES_INITIALIZED SYSREQ_EDOC_STORAGES_LOCAL_PATH SYSREQ_EDOC_STORAGES_SAPERION_DATABASE_NAME SYSREQ_EDOC_STORAGES_SEARCH_BY_TEXT SYSREQ_EDOC_STORAGES_SERVER_NAME SYSREQ_EDOC_STORAGES_SHARED_SOURCE_NAME SYSREQ_EDOC_STORAGES_TYPE SYSREQ_EDOC_TEXT_MODIFIED SYSREQ_EDOC_TYPE_ACT_CODE SYSREQ_EDOC_TYPE_ACT_DESCRIPTION SYSREQ_EDOC_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_EDOC_TYPE_ACT_SECTION SYSREQ_EDOC_TYPE_ADD_PARAMS SYSREQ_EDOC_TYPE_COMMENT SYSREQ_EDOC_TYPE_EVENT_TEXT SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_EDOC_TYPE_NAME_LOCALIZE_ID SYSREQ_EDOC_TYPE_NUMERATION_METHOD SYSREQ_EDOC_TYPE_PSEUDO_REQUISITE_CODE SYSREQ_EDOC_TYPE_REQ_CODE SYSREQ_EDOC_TYPE_REQ_DESCRIPTION SYSREQ_EDOC_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_REQ_IS_LEADING SYSREQ_EDOC_TYPE_REQ_IS_REQUIRED SYSREQ_EDOC_TYPE_REQ_NUMBER SYSREQ_EDOC_TYPE_REQ_ON_CHANGE SYSREQ_EDOC_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_EDOC_TYPE_REQ_ON_SELECT SYSREQ_EDOC_TYPE_REQ_ON_SELECT_KIND SYSREQ_EDOC_TYPE_REQ_SECTION SYSREQ_EDOC_TYPE_VIEW_CARD SYSREQ_EDOC_TYPE_VIEW_CODE SYSREQ_EDOC_TYPE_VIEW_COMMENT SYSREQ_EDOC_TYPE_VIEW_IS_MAIN SYSREQ_EDOC_TYPE_VIEW_NAME SYSREQ_EDOC_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_EDOC_VERSION_AUTHOR SYSREQ_EDOC_VERSION_CRC SYSREQ_EDOC_VERSION_DATA SYSREQ_EDOC_VERSION_EDITOR SYSREQ_EDOC_VERSION_EXPORT_DATE SYSREQ_EDOC_VERSION_EXPORTER SYSREQ_EDOC_VERSION_HIDDEN SYSREQ_EDOC_VERSION_LIFE_STAGE SYSREQ_EDOC_VERSION_MODIFIED SYSREQ_EDOC_VERSION_NOTE SYSREQ_EDOC_VERSION_SIGNATURE_TYPE SYSREQ_EDOC_VERSION_SIGNED SYSREQ_EDOC_VERSION_SIZE SYSREQ_EDOC_VERSION_SOURCE SYSREQ_EDOC_VERSION_TEXT_MODIFIED SYSREQ_EDOCKIND_DEFAULT_VERSION_STATE_CODE SYSREQ_FOLDER_KIND SYSREQ_FUNC_CATEGORY SYSREQ_FUNC_COMMENT SYSREQ_FUNC_GROUP SYSREQ_FUNC_GROUP_COMMENT SYSREQ_FUNC_GROUP_NUMBER SYSREQ_FUNC_HELP SYSREQ_FUNC_PARAM_DEF_VALUE SYSREQ_FUNC_PARAM_IDENT SYSREQ_FUNC_PARAM_NUMBER SYSREQ_FUNC_PARAM_TYPE SYSREQ_FUNC_TEXT SYSREQ_GROUP_CATEGORY SYSREQ_ID SYSREQ_LAST_UPDATE SYSREQ_LEADER_REFERENCE SYSREQ_LINE_NUMBER SYSREQ_MAIN_RECORD_ID SYSREQ_NAME SYSREQ_NAME_LOCALIZE_ID SYSREQ_NOTE SYSREQ_ORIGINAL_RECORD SYSREQ_OUR_FIRM SYSREQ_PROFILING_SETTINGS_BATCH_LOGING SYSREQ_PROFILING_SETTINGS_BATCH_SIZE SYSREQ_PROFILING_SETTINGS_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_SQL_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_START_LOGGED SYSREQ_RECORD_STATUS SYSREQ_REF_REQ_FIELD_NAME SYSREQ_REF_REQ_FORMAT SYSREQ_REF_REQ_GENERATED SYSREQ_REF_REQ_LENGTH SYSREQ_REF_REQ_PRECISION SYSREQ_REF_REQ_REFERENCE SYSREQ_REF_REQ_SECTION SYSREQ_REF_REQ_STORED SYSREQ_REF_REQ_TOKENS SYSREQ_REF_REQ_TYPE SYSREQ_REF_REQ_VIEW SYSREQ_REF_TYPE_ACT_CODE SYSREQ_REF_TYPE_ACT_DESCRIPTION SYSREQ_REF_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_ACT_ON_EXECUTE SYSREQ_REF_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_REF_TYPE_ACT_SECTION SYSREQ_REF_TYPE_ADD_PARAMS SYSREQ_REF_TYPE_COMMENT SYSREQ_REF_TYPE_COMMON_SETTINGS SYSREQ_REF_TYPE_DISPLAY_REQUISITE_NAME SYSREQ_REF_TYPE_EVENT_TEXT SYSREQ_REF_TYPE_MAIN_LEADING_REF SYSREQ_REF_TYPE_NAME_IN_SINGULAR SYSREQ_REF_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_REF_TYPE_NAME_LOCALIZE_ID SYSREQ_REF_TYPE_NUMERATION_METHOD SYSREQ_REF_TYPE_REQ_CODE SYSREQ_REF_TYPE_REQ_DESCRIPTION SYSREQ_REF_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_REQ_IS_CONTROL SYSREQ_REF_TYPE_REQ_IS_FILTER SYSREQ_REF_TYPE_REQ_IS_LEADING SYSREQ_REF_TYPE_REQ_IS_REQUIRED SYSREQ_REF_TYPE_REQ_NUMBER SYSREQ_REF_TYPE_REQ_ON_CHANGE SYSREQ_REF_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_REF_TYPE_REQ_ON_SELECT SYSREQ_REF_TYPE_REQ_ON_SELECT_KIND SYSREQ_REF_TYPE_REQ_SECTION SYSREQ_REF_TYPE_VIEW_CARD SYSREQ_REF_TYPE_VIEW_CODE SYSREQ_REF_TYPE_VIEW_COMMENT SYSREQ_REF_TYPE_VIEW_IS_MAIN SYSREQ_REF_TYPE_VIEW_NAME SYSREQ_REF_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_REFERENCE_TYPE_ID SYSREQ_STATE SYSREQ_STAT\u0415 SYSREQ_SYSTEM_SETTINGS_VALUE SYSREQ_TYPE SYSREQ_UNIT SYSREQ_UNIT_ID SYSREQ_USER_GROUPS_GROUP_FULL_NAME SYSREQ_USER_GROUPS_GROUP_NAME SYSREQ_USER_GROUPS_GROUP_SERVER_NAME SYSREQ_USERS_ACCESS_RIGHTS SYSREQ_USERS_AUTHENTICATION SYSREQ_USERS_CATEGORY SYSREQ_USERS_COMPONENT SYSREQ_USERS_COMPONENT_USER_IS_PUBLIC SYSREQ_USERS_DOMAIN SYSREQ_USERS_FULL_USER_NAME SYSREQ_USERS_GROUP SYSREQ_USERS_IS_MAIN_SERVER SYSREQ_USERS_LOGIN SYSREQ_USERS_REFERENCE_USER_IS_PUBLIC SYSREQ_USERS_STATUS SYSREQ_USERS_USER_CERTIFICATE SYSREQ_USERS_USER_CERTIFICATE_INFO SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_NAME SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_VERSION SYSREQ_USERS_USER_CERTIFICATE_STATE SYSREQ_USERS_USER_CERTIFICATE_SUBJECT_NAME SYSREQ_USERS_USER_CERTIFICATE_THUMBPRINT SYSREQ_USERS_USER_DEFAULT_CERTIFICATE SYSREQ_USERS_USER_DESCRIPTION SYSREQ_USERS_USER_GLOBAL_NAME SYSREQ_USERS_USER_LOGIN SYSREQ_USERS_USER_MAIN_SERVER SYSREQ_USERS_USER_TYPE SYSREQ_WORK_RULES_FOLDER_ID ",z="RESULT_VAR_NAME RESULT_VAR_NAME_ENG ",k="AUTO_NUMERATION_RULE_ID CANT_CHANGE_ID_REQUISITE_RULE_ID CANT_CHANGE_OURFIRM_REQUISITE_RULE_ID CHECK_CHANGING_REFERENCE_RECORD_USE_RULE_ID CHECK_CODE_REQUISITE_RULE_ID CHECK_DELETING_REFERENCE_RECORD_USE_RULE_ID CHECK_FILTRATER_CHANGES_RULE_ID CHECK_RECORD_INTERVAL_RULE_ID CHECK_REFERENCE_INTERVAL_RULE_ID CHECK_REQUIRED_DATA_FULLNESS_RULE_ID CHECK_REQUIRED_REQUISITES_FULLNESS_RULE_ID MAKE_RECORD_UNRATIFIED_RULE_ID RESTORE_AUTO_NUMERATION_RULE_ID SET_FIRM_CONTEXT_FROM_RECORD_RULE_ID SET_FIRST_RECORD_IN_LIST_FORM_RULE_ID SET_IDSPS_VALUE_RULE_ID SET_NEXT_CODE_VALUE_RULE_ID SET_OURFIRM_BOUNDS_RULE_ID SET_OURFIRM_REQUISITE_RULE_ID ",L="SCRIPT_BLOCK_AFTER_FINISH_EVENT SCRIPT_BLOCK_BEFORE_START_EVENT SCRIPT_BLOCK_EXECUTION_RESULTS_PROPERTY SCRIPT_BLOCK_NAME_PROPERTY SCRIPT_BLOCK_SCRIPT_PROPERTY ",w="SUBTASK_BLOCK_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_AFTER_FINISH_EVENT SUBTASK_BLOCK_ASSIGN_PARAMS_EVENT SUBTASK_BLOCK_ATTACHMENTS_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY SUBTASK_BLOCK_BEFORE_START_EVENT SUBTASK_BLOCK_CREATED_TASK_PROPERTY SUBTASK_BLOCK_CREATION_EVENT SUBTASK_BLOCK_DEADLINE_PROPERTY SUBTASK_BLOCK_IMPORTANCE_PROPERTY SUBTASK_BLOCK_INITIATOR_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY SUBTASK_BLOCK_JOBS_TYPE_PROPERTY SUBTASK_BLOCK_NAME_PROPERTY SUBTASK_BLOCK_PARALLEL_ROUTE_PROPERTY SUBTASK_BLOCK_PERFORMERS_PROPERTY SUBTASK_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_REQUIRE_SIGN_PROPERTY SUBTASK_BLOCK_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_START_EVENT SUBTASK_BLOCK_STEP_CONTROL_PROPERTY SUBTASK_BLOCK_SUBJECT_PROPERTY SUBTASK_BLOCK_TASK_CONTROL_PROPERTY SUBTASK_BLOCK_TEXT_PROPERTY SUBTASK_BLOCK_UNLOCK_ATTACHMENTS_ON_STOP_PROPERTY SUBTASK_BLOCK_USE_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_WAIT_FOR_TASK_COMPLETE_PROPERTY ",M="SYSCOMP_CONTROL_JOBS SYSCOMP_FOLDERS SYSCOMP_JOBS SYSCOMP_NOTICES SYSCOMP_TASKS ",x="SYSDLG_CREATE_EDOCUMENT SYSDLG_CREATE_EDOCUMENT_VERSION SYSDLG_CURRENT_PERIOD SYSDLG_EDIT_FUNCTION_HELP SYSDLG_EDOCUMENT_KINDS_FOR_TEMPLATE SYSDLG_EXPORT_MULTIPLE_EDOCUMENTS SYSDLG_EXPORT_SINGLE_EDOCUMENT SYSDLG_IMPORT_EDOCUMENT SYSDLG_MULTIPLE_SELECT SYSDLG_SETUP_ACCESS_RIGHTS SYSDLG_SETUP_DEFAULT_RIGHTS SYSDLG_SETUP_FILTER_CONDITION SYSDLG_SETUP_SIGN_RIGHTS SYSDLG_SETUP_TASK_OBSERVERS SYSDLG_SETUP_TASK_ROUTE SYSDLG_SETUP_USERS_LIST SYSDLG_SIGN_EDOCUMENT SYSDLG_SIGN_MULTIPLE_EDOCUMENTS ",Y="SYSREF_ACCESS_RIGHTS_TYPES SYSREF_ADMINISTRATION_HISTORY SYSREF_ALL_AVAILABLE_COMPONENTS SYSREF_ALL_AVAILABLE_PRIVILEGES SYSREF_ALL_REPLICATING_COMPONENTS SYSREF_AVAILABLE_DEVELOPERS_COMPONENTS SYSREF_CALENDAR_EVENTS SYSREF_COMPONENT_TOKEN_HISTORY SYSREF_COMPONENT_TOKENS SYSREF_COMPONENTS SYSREF_CONSTANTS SYSREF_DATA_RECEIVE_PROTOCOL SYSREF_DATA_SEND_PROTOCOL SYSREF_DIALOGS SYSREF_DIALOGS_REQUISITES SYSREF_EDITORS SYSREF_EDOC_CARDS SYSREF_EDOC_TYPES SYSREF_EDOCUMENT_CARD_REQUISITES SYSREF_EDOCUMENT_CARD_TYPES SYSREF_EDOCUMENT_CARD_TYPES_REFERENCE SYSREF_EDOCUMENT_CARDS SYSREF_EDOCUMENT_HISTORY SYSREF_EDOCUMENT_KINDS SYSREF_EDOCUMENT_REQUISITES SYSREF_EDOCUMENT_SIGNATURES SYSREF_EDOCUMENT_TEMPLATES SYSREF_EDOCUMENT_TEXT_STORAGES SYSREF_EDOCUMENT_VIEWS SYSREF_FILTERER_SETUP_CONFLICTS SYSREF_FILTRATER_SETTING_CONFLICTS SYSREF_FOLDER_HISTORY SYSREF_FOLDERS SYSREF_FUNCTION_GROUPS SYSREF_FUNCTION_PARAMS SYSREF_FUNCTIONS SYSREF_JOB_HISTORY SYSREF_LINKS SYSREF_LOCALIZATION_DICTIONARY SYSREF_LOCALIZATION_LANGUAGES SYSREF_MODULES SYSREF_PRIVILEGES SYSREF_RECORD_HISTORY SYSREF_REFERENCE_REQUISITES SYSREF_REFERENCE_TYPE_VIEWS SYSREF_REFERENCE_TYPES SYSREF_REFERENCES SYSREF_REFERENCES_REQUISITES SYSREF_REMOTE_SERVERS SYSREF_REPLICATION_SESSIONS_LOG SYSREF_REPLICATION_SESSIONS_PROTOCOL SYSREF_REPORTS SYSREF_ROLES SYSREF_ROUTE_BLOCK_GROUPS SYSREF_ROUTE_BLOCKS SYSREF_SCRIPTS SYSREF_SEARCHES SYSREF_SERVER_EVENTS SYSREF_SERVER_EVENTS_HISTORY SYSREF_STANDARD_ROUTE_GROUPS SYSREF_STANDARD_ROUTES SYSREF_STATUSES SYSREF_SYSTEM_SETTINGS SYSREF_TASK_HISTORY SYSREF_TASK_KIND_GROUPS SYSREF_TASK_KINDS SYSREF_TASK_RIGHTS SYSREF_TASK_SIGNATURES SYSREF_TASKS SYSREF_UNITS SYSREF_USER_GROUPS SYSREF_USER_GROUPS_REFERENCE SYSREF_USER_SUBSTITUTION SYSREF_USERS SYSREF_USERS_REFERENCE SYSREF_VIEWERS SYSREF_WORKING_TIME_CALENDARS ",J="ACCESS_RIGHTS_TABLE_NAME EDMS_ACCESS_TABLE_NAME EDOC_TYPES_TABLE_NAME ",K="TEST_DEV_DB_NAME TEST_DEV_SYSTEM_CODE TEST_EDMS_DB_NAME TEST_EDMS_MAIN_CODE TEST_EDMS_MAIN_DB_NAME TEST_EDMS_SECOND_CODE TEST_EDMS_SECOND_DB_NAME TEST_EDMS_SYSTEM_CODE TEST_ISB5_MAIN_CODE TEST_ISB5_SECOND_CODE TEST_SQL_SERVER_2005_NAME TEST_SQL_SERVER_NAME ",re="ATTENTION_CAPTION cbsCommandLinks cbsDefault CONFIRMATION_CAPTION ERROR_CAPTION INFORMATION_CAPTION mrCancel mrOk ",Z="EDOC_VERSION_ACTIVE_STAGE_CODE EDOC_VERSION_DESIGN_STAGE_CODE EDOC_VERSION_OBSOLETE_STAGE_CODE ",j="cpDataEnciphermentEnabled cpDigitalSignatureEnabled cpID cpIssuer cpPluginVersion cpSerial cpSubjectName cpSubjSimpleName cpValidFromDate cpValidToDate ",U="ISBL_SYNTAX NO_SYNTAX XML_SYNTAX ",Q="WAIT_BLOCK_AFTER_FINISH_EVENT WAIT_BLOCK_BEFORE_START_EVENT WAIT_BLOCK_DEADLINE_PROPERTY WAIT_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY WAIT_BLOCK_NAME_PROPERTY WAIT_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY ",X="SYSRES_COMMON SYSRES_CONST SYSRES_MBFUNC SYSRES_SBDATA SYSRES_SBGUI SYSRES_SBINTF SYSRES_SBREFDSC SYSRES_SQLERRORS SYSRES_SYSCOMP ",le=a+l+u+c+d+g+f+h+p+m+y+b+C+E+v+O+S+A+P+F+G+z+k+L+w+M+x+Y+J+K+re+Z+j+U+Q+X,ae="atUser atGroup atRole ",W="aemEnabledAlways aemDisabledAlways aemEnabledOnBrowse aemEnabledOnEdit aemDisabledOnBrowseEmpty ",ie="apBegin apEnd ",de="alLeft alRight ",ce="asmNever asmNoButCustomize asmAsLastTime asmYesButCustomize asmAlways ",Ee="cirCommon cirRevoked ",Ie="ctSignature ctEncode ctSignatureEncode ",Le="clbUnchecked clbChecked clbGrayed ",Ue="ceISB ceAlways ceNever ",Ye="ctDocument ctReference ctScript ctUnknown ctReport ctDialog ctFunction ctFolder ctEDocument ctTask ctJob ctNotice ctControlJob ",We="cfInternal cfDisplay ",Je="ciUnspecified ciWrite ciRead ",ot="ckFolder ckEDocument ckTask ckJob ckComponentToken ckAny ckReference ckScript ckReport ckDialog ",me="ctISBLEditor ctBevel ctButton ctCheckListBox ctComboBox ctComboEdit ctGrid ctDBCheckBox ctDBComboBox ctDBEdit ctDBEllipsis ctDBMemo ctDBNavigator ctDBRadioGroup ctDBStatusLabel ctEdit ctGroupBox ctInplaceHint ctMemo ctPanel ctListBox ctRadioButton ctRichEdit ctTabSheet ctWebBrowser ctImage ctHyperLink ctLabel ctDBMultiEllipsis ctRibbon ctRichView ctInnerPanel ctPanelGroup ctBitButton ",ue="cctDate cctInteger cctNumeric cctPick cctReference cctString cctText ",Ne="cltInternal cltPrimary cltGUI ",Te="dseBeforeOpen dseAfterOpen dseBeforeClose dseAfterClose dseOnValidDelete dseBeforeDelete dseAfterDelete dseAfterDeleteOutOfTransaction dseOnDeleteError dseBeforeInsert dseAfterInsert dseOnValidUpdate dseBeforeUpdate dseOnUpdateRatifiedRecord dseAfterUpdate dseAfterUpdateOutOfTransaction dseOnUpdateError dseAfterScroll dseOnOpenRecord dseOnCloseRecord dseBeforeCancel dseAfterCancel dseOnUpdateDeadlockError dseBeforeDetailUpdate dseOnPrepareUpdate dseOnAnyRequisiteChange ",he="dssEdit dssInsert dssBrowse dssInActive ",Ge="dftDate dftShortDate dftDateTime dftTimeStamp ",Fe="dotDays dotHours dotMinutes dotSeconds ",$e="dtkndLocal dtkndUTC ",mt="arNone arView arEdit arFull ",ye="ddaView ddaEdit ",Ce="emLock emEdit emSign emExportWithLock emImportWithUnlock emChangeVersionNote emOpenForModify emChangeLifeStage emDelete emCreateVersion emImport emUnlockExportedWithLock emStart emAbort emReInit emMarkAsReaded emMarkAsUnreaded emPerform emAccept emResume emChangeRights emEditRoute emEditObserver emRecoveryFromLocalCopy emChangeWorkAccessType emChangeEncodeTypeToCertificate emChangeEncodeTypeToPassword emChangeEncodeTypeToNone emChangeEncodeTypeToCertificatePassword emChangeStandardRoute emGetText emOpenForView emMoveToStorage emCreateObject emChangeVersionHidden emDeleteVersion emChangeLifeCycleStage emApprovingSign emExport emContinue emLockFromEdit emUnLockForEdit emLockForServer emUnlockFromServer emDelegateAccessRights emReEncode ",ze="ecotFile ecotProcess ",ke="eaGet eaCopy eaCreate eaCreateStandardRoute ",Xe="edltAll edltNothing edltQuery ",Rt="essmText essmCard ",Nn="esvtLast esvtLastActive esvtSpecified ",nt="edsfExecutive edsfArchive ",Oe="edstSQLServer edstFile ",Ut="edvstNone edvstEDocumentVersionCopy edvstFile edvstTemplate edvstScannedFile ",Or="vsDefault vsDesign vsActive vsObsolete ",Nr="etNone etCertificate etPassword etCertificatePassword ",Ar="ecException ecWarning ecInformation ",hn="estAll estApprovingOnly ",tr="evtLast evtLastActive evtQuery ",Di="fdtString fdtNumeric fdtInteger fdtDate fdtText fdtUnknown fdtWideString fdtLargeInteger ",xi="ftInbox ftOutbox ftFavorites ftCommonFolder ftUserFolder ftComponents ftQuickLaunch ftShortcuts ftSearch ",Tt="grhAuto grhX1 grhX2 grhX3 ",Lt="hltText hltRTF hltHTML ",Gt="iffBMP iffJPEG iffMultiPageTIFF iffSinglePageTIFF iffTIFF iffPNG ",nr="im8bGrayscale im24bRGB im1bMonochrome ",Ir="itBMP itJPEG itWMF itPNG ",wi="ikhInformation ikhWarning ikhError ikhNoIcon ",Mi="icUnknown icScript icFunction icIntegratedReport icAnalyticReport icDataSetEventHandler icActionHandler icFormEventHandler icLookUpEventHandler icRequisiteChangeEventHandler icBeforeSearchEventHandler icRoleCalculation icSelectRouteEventHandler icBlockPropertyCalculation icBlockQueryParamsEventHandler icChangeSearchResultEventHandler icBlockEventHandler icSubTaskInitEventHandler icEDocDataSetEventHandler icEDocLookUpEventHandler icEDocActionHandler icEDocFormEventHandler icEDocRequisiteChangeEventHandler icStructuredConversionRule icStructuredConversionEventBefore icStructuredConversionEventAfter icWizardEventHandler icWizardFinishEventHandler icWizardStepEventHandler icWizardStepFinishEventHandler icWizardActionEnableEventHandler icWizardActionExecuteEventHandler icCreateJobsHandler icCreateNoticesHandler icBeforeLookUpEventHandler icAfterLookUpEventHandler icTaskAbortEventHandler icWorkflowBlockActionHandler icDialogDataSetEventHandler icDialogActionHandler icDialogLookUpEventHandler icDialogRequisiteChangeEventHandler icDialogFormEventHandler icDialogValidCloseEventHandler icBlockFormEventHandler icTaskFormEventHandler icReferenceMethod icEDocMethod icDialogMethod icProcessMessageHandler ",Li="isShow isHide isByUserSettings ",An="jkJob jkNotice jkControlJob ",st="jtInner jtLeft jtRight jtFull jtCross ",R="lbpAbove lbpBelow lbpLeft lbpRight ",D="eltPerConnection eltPerUser ",be="sfcUndefined sfcBlack sfcGreen sfcRed sfcBlue sfcOrange sfcLilac ",q="sfsItalic sfsStrikeout sfsNormal ",ee="ldctStandardRoute ldctWizard ldctScript ldctFunction ldctRouteBlock ldctIntegratedReport ldctAnalyticReport ldctReferenceType ldctEDocumentType ldctDialog ldctServerEvents ",it="mrcrtNone mrcrtUser mrcrtMaximal mrcrtCustom ",xe="vtEqual vtGreaterOrEqual vtLessOrEqual vtRange ",gt="rdYesterday rdToday rdTomorrow rdThisWeek rdThisMonth rdThisYear rdNextMonth rdNextWeek rdLastWeek rdLastMonth ",an="rdWindow rdFile rdPrinter ",Pe="rdtString rdtNumeric rdtInteger rdtDate rdtReference rdtAccount rdtText rdtPick rdtUnknown rdtLargeInteger rdtDocument ",dt="reOnChange reOnChangeValues ",bt="ttGlobal ttLocal ttUser ttSystem ",In="ssmBrowse ssmSelect ssmMultiSelect ssmBrowseModal ",te="smSelect smLike smCard ",kt="stNone stAuthenticating stApproving ",se="sctString sctStream ",Dr="sstAnsiSort sstNaturalSort ",xr="svtEqual svtContain ",rr="soatString soatNumeric soatInteger soatDatetime soatReferenceRecord soatText soatPick soatBoolean soatEDocument soatAccount soatIntegerCollection soatNumericCollection soatStringCollection soatPickCollection soatDatetimeCollection soatBooleanCollection soatReferenceRecordCollection soatEDocumentCollection soatAccountCollection soatContents soatUnknown ",wr="tarAbortByUser tarAbortByWorkflowException ",Mr="tvtAllWords tvtExactPhrase tvtAnyWord ",ir="usNone usCompleted usRedSquare usBlueSquare usYellowSquare usGreenSquare usOrangeSquare usPurpleSquare usFollowUp ",Lr="utUnknown utUser utDeveloper utAdministrator utSystemDeveloper utDisconnected ",Dn="btAnd btDetailAnd btOr btNotOr btOnly ",ar="vmView vmSelect vmNavigation ",xn="vsmSingle vsmMultiple vsmMultipleCheck vsmNoSelection ",qe="wfatPrevious wfatNext wfatCancel wfatFinish ",Et="wfepUndefined wfepText3 wfepText6 wfepText9 wfepSpinEdit wfepDropDown wfepRadioGroup wfepFlag wfepText12 wfepText15 wfepText18 wfepText21 wfepText24 wfepText27 wfepText30 wfepRadioGroupColumn1 wfepRadioGroupColumn2 wfepRadioGroupColumn3 ",_t="wfetQueryParameter wfetText wfetDelimiter wfetLabel ",Xt="wptString wptInteger wptNumeric wptBoolean wptDateTime wptPick wptText wptUser wptUserList wptEDocumentInfo wptEDocumentInfoList wptReferenceRecordInfo wptReferenceRecordInfoList wptFolderInfo wptTaskInfo wptContents wptFileName wptDate ",qt="wsrComplete wsrGoNext wsrGoPrevious wsrCustom wsrCancel wsrGoFinal ",or="wstForm wstEDocument wstTaskCard wstReferenceRecordCard wstFinal ",sr="waAll waPerformers waManual ",wn="wsbStart wsbFinish wsbNotice wsbStep wsbDecision wsbWait wsbMonitor wsbScript wsbConnector wsbSubTask wsbLifeCycleStage wsbPause ",Mn="wdtInteger wdtFloat wdtString wdtPick wdtDateTime wdtBoolean wdtTask wdtJob wdtFolder wdtEDocument wdtReferenceRecord wdtUser wdtGroup wdtRole wdtIntegerCollection wdtFloatCollection wdtStringCollection wdtPickCollection wdtDateTimeCollection wdtBooleanCollection wdtTaskCollection wdtJobCollection wdtFolderCollection wdtEDocumentCollection wdtReferenceRecordCollection wdtUserCollection wdtGroupCollection wdtRoleCollection wdtContents wdtUserList wdtSearchDescription wdtDeadLine wdtPickSet wdtAccountCollection ",kr="wiLow wiNormal wiHigh ",ki="wrtSoft wrtHard ",Ln="wsInit wsRunning wsDone wsControlled wsAborted wsContinued ",Ot="wtmFull wtmFromCurrent wtmOnlyCurrent ",Pi=ae+W+ie+de+ce+Ee+Ie+Le+Ue+Ye+We+Je+ot+me+ue+Ne+Te+he+Ge+Fe+$e+mt+ye+Ce+ze+ke+Xe+Rt+Nn+nt+Oe+Ut+Or+Nr+Ar+hn+tr+Di+xi+Tt+Lt+Gt+nr+Ir+wi+Mi+Li+An+st+R+D+be+q+ee+it+xe+gt+an+Pe+dt+bt+In+te+kt+se+Dr+xr+rr+wr+Mr+ir+Lr+Dn+ar+xn+qe+Et+_t+Xt+qt+or+sr+wn+Mn+kr+ki+Ln+Ot,Bi="AddSubString AdjustLineBreaks AmountInWords Analysis ArrayDimCount ArrayHighBound ArrayLowBound ArrayOf ArrayReDim Assert Assigned BeginOfMonth BeginOfPeriod BuildProfilingOperationAnalysis CallProcedure CanReadFile CArrayElement CDataSetRequisite ChangeDate ChangeReferenceDataset Char CharPos CheckParam CheckParamValue CompareStrings ConstantExists ControlState ConvertDateStr Copy CopyFile CreateArray CreateCachedReference CreateConnection CreateDialog CreateDualListDialog CreateEditor CreateException CreateFile CreateFolderDialog CreateInputDialog CreateLinkFile CreateList CreateLock CreateMemoryDataSet CreateObject CreateOpenDialog CreateProgress CreateQuery CreateReference CreateReport CreateSaveDialog CreateScript CreateSQLPivotFunction CreateStringList CreateTreeListSelectDialog CSelectSQL CSQL CSubString CurrentUserID CurrentUserName CurrentVersion DataSetLocateEx DateDiff DateTimeDiff DateToStr DayOfWeek DeleteFile DirectoryExists DisableCheckAccessRights DisableCheckFullShowingRestriction DisableMassTaskSendingRestrictions DropTable DupeString EditText EnableCheckAccessRights EnableCheckFullShowingRestriction EnableMassTaskSendingRestrictions EndOfMonth EndOfPeriod ExceptionExists ExceptionsOff ExceptionsOn Execute ExecuteProcess Exit ExpandEnvironmentVariables ExtractFileDrive ExtractFileExt ExtractFileName ExtractFilePath ExtractParams FileExists FileSize FindFile FindSubString FirmContext ForceDirectories Format FormatDate FormatNumeric FormatSQLDate FormatString FreeException GetComponent GetComponentLaunchParam GetConstant GetLastException GetReferenceRecord GetRefTypeByRefID GetTableID GetTempFolder IfThen In IndexOf InputDialog InputDialogEx InteractiveMode IsFileLocked IsGraphicFile IsNumeric Length LoadString LoadStringFmt LocalTimeToUTC LowerCase Max MessageBox MessageBoxEx MimeDecodeBinary MimeDecodeString MimeEncodeBinary MimeEncodeString Min MoneyInWords MoveFile NewID Now OpenFile Ord Precision Raise ReadCertificateFromFile ReadFile ReferenceCodeByID ReferenceNumber ReferenceRequisiteMode ReferenceRequisiteValue RegionDateSettings RegionNumberSettings RegionTimeSettings RegRead RegWrite RenameFile Replace Round SelectServerCode SelectSQL ServerDateTime SetConstant SetManagedFolderFieldsState ShowConstantsInputDialog ShowMessage Sleep Split SQL SQL2XLSTAB SQLProfilingSendReport StrToDate SubString SubStringCount SystemSetting Time TimeDiff Today Transliterate Trim UpperCase UserStatus UTCToLocalTime ValidateXML VarIsClear VarIsEmpty VarIsNull WorkTimeDiff WriteFile WriteFileEx WriteObjectHistory \u0410\u043D\u0430\u043B\u0438\u0437 \u0411\u0430\u0437\u0430\u0414\u0430\u043D\u043D\u044B\u0445 \u0411\u043B\u043E\u043A\u0415\u0441\u0442\u044C \u0411\u043B\u043E\u043A\u0415\u0441\u0442\u044C\u0420\u0430\u0441\u0448 \u0411\u043B\u043E\u043A\u0418\u043D\u0444\u043E \u0411\u043B\u043E\u043A\u0421\u043D\u044F\u0442\u044C \u0411\u043B\u043E\u043A\u0421\u043D\u044F\u0442\u044C\u0420\u0430\u0441\u0448 \u0411\u043B\u043E\u043A\u0423\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C \u0412\u0432\u043E\u0434 \u0412\u0432\u043E\u0434\u041C\u0435\u043D\u044E \u0412\u0435\u0434\u0421 \u0412\u0435\u0434\u0421\u043F\u0440 \u0412\u0435\u0440\u0445\u043D\u044F\u044F\u0413\u0440\u0430\u043D\u0438\u0446\u0430\u041C\u0430\u0441\u0441\u0438\u0432\u0430 \u0412\u043D\u0435\u0448\u041F\u0440\u043E\u0433\u0440 \u0412\u043E\u0441\u0441\u0442 \u0412\u0440\u0435\u043C\u0435\u043D\u043D\u0430\u044F\u041F\u0430\u043F\u043A\u0430 \u0412\u0440\u0435\u043C\u044F \u0412\u044B\u0431\u043E\u0440SQL \u0412\u044B\u0431\u0440\u0430\u0442\u044C\u0417\u0430\u043F\u0438\u0441\u044C \u0412\u044B\u0434\u0435\u043B\u0438\u0442\u044C\u0421\u0442\u0440 \u0412\u044B\u0437\u0432\u0430\u0442\u044C \u0412\u044B\u043F\u043E\u043B\u043D\u0438\u0442\u044C \u0412\u044B\u043F\u041F\u0440\u043E\u0433\u0440 \u0413\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u0438\u0439\u0424\u0430\u0439\u043B \u0413\u0440\u0443\u043F\u043F\u0430\u0414\u043E\u043F\u043E\u043B\u043D\u0438\u0442\u0435\u043B\u044C\u043D\u043E \u0414\u0430\u0442\u0430\u0412\u0440\u0435\u043C\u044F\u0421\u0435\u0440\u0432 \u0414\u0435\u043D\u044C\u041D\u0435\u0434\u0435\u043B\u0438 \u0414\u0438\u0430\u043B\u043E\u0433\u0414\u0430\u041D\u0435\u0442 \u0414\u043B\u0438\u043D\u0430\u0421\u0442\u0440 \u0414\u043E\u0431\u041F\u043E\u0434\u0441\u0442\u0440 \u0415\u041F\u0443\u0441\u0442\u043E \u0415\u0441\u043B\u0438\u0422\u043E \u0415\u0427\u0438\u0441\u043B\u043E \u0417\u0430\u043C\u041F\u043E\u0434\u0441\u0442\u0440 \u0417\u0430\u043F\u0438\u0441\u044C\u0421\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A\u0430 \u0417\u043D\u0430\u0447\u041F\u043E\u043B\u044F\u0421\u043F\u0440 \u0418\u0414\u0422\u0438\u043F\u0421\u043F\u0440 \u0418\u0437\u0432\u043B\u0435\u0447\u044C\u0414\u0438\u0441\u043A \u0418\u0437\u0432\u043B\u0435\u0447\u044C\u0418\u043C\u044F\u0424\u0430\u0439\u043B\u0430 \u0418\u0437\u0432\u043B\u0435\u0447\u044C\u041F\u0443\u0442\u044C \u0418\u0437\u0432\u043B\u0435\u0447\u044C\u0420\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0435 \u0418\u0437\u043C\u0414\u0430\u0442 \u0418\u0437\u043C\u0435\u043D\u0438\u0442\u044C\u0420\u0430\u0437\u043C\u0435\u0440\u041C\u0430\u0441\u0441\u0438\u0432\u0430 \u0418\u0437\u043C\u0435\u0440\u0435\u043D\u0438\u0439\u041C\u0430\u0441\u0441\u0438\u0432\u0430 \u0418\u043C\u044F\u041E\u0440\u0433 \u0418\u043C\u044F\u041F\u043E\u043B\u044F\u0421\u043F\u0440 \u0418\u043D\u0434\u0435\u043A\u0441 \u0418\u043D\u0434\u0438\u043A\u0430\u0442\u043E\u0440\u0417\u0430\u043A\u0440\u044B\u0442\u044C \u0418\u043D\u0434\u0438\u043A\u0430\u0442\u043E\u0440\u041E\u0442\u043A\u0440\u044B\u0442\u044C \u0418\u043D\u0434\u0438\u043A\u0430\u0442\u043E\u0440\u0428\u0430\u0433 \u0418\u043D\u0442\u0435\u0440\u0430\u043A\u0442\u0438\u0432\u043D\u044B\u0439\u0420\u0435\u0436\u0438\u043C \u0418\u0442\u043E\u0433\u0422\u0431\u043B\u0421\u043F\u0440 \u041A\u043E\u0434\u0412\u0438\u0434\u0412\u0435\u0434\u0421\u043F\u0440 \u041A\u043E\u0434\u0412\u0438\u0434\u0421\u043F\u0440\u041F\u043E\u0418\u0414 \u041A\u043E\u0434\u041F\u043EAnalit \u041A\u043E\u0434\u0421\u0438\u043C\u0432\u043E\u043B\u0430 \u041A\u043E\u0434\u0421\u043F\u0440 \u041A\u043E\u043B\u041F\u043E\u0434\u0441\u0442\u0440 \u041A\u043E\u043B\u041F\u0440\u043E\u043F \u041A\u043E\u043D\u041C\u0435\u0441 \u041A\u043E\u043D\u0441\u0442 \u041A\u043E\u043D\u0441\u0442\u0415\u0441\u0442\u044C \u041A\u043E\u043D\u0441\u0442\u0417\u043D\u0430\u0447 \u041A\u043E\u043D\u0422\u0440\u0430\u043D \u041A\u043E\u043F\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0424\u0430\u0439\u043B \u041A\u043E\u043F\u0438\u044F\u0421\u0442\u0440 \u041A\u041F\u0435\u0440\u0438\u043E\u0434 \u041A\u0421\u0442\u0440\u0422\u0431\u043B\u0421\u043F\u0440 \u041C\u0430\u043A\u0441 \u041C\u0430\u043A\u0441\u0421\u0442\u0440\u0422\u0431\u043B\u0421\u043F\u0440 \u041C\u0430\u0441\u0441\u0438\u0432 \u041C\u0435\u043D\u044E \u041C\u0435\u043D\u044E\u0420\u0430\u0441\u0448 \u041C\u0438\u043D \u041D\u0430\u0431\u043E\u0440\u0414\u0430\u043D\u043D\u044B\u0445\u041D\u0430\u0439\u0442\u0438\u0420\u0430\u0441\u0448 \u041D\u0430\u0438\u043C\u0412\u0438\u0434\u0421\u043F\u0440 \u041D\u0430\u0438\u043C\u041F\u043EAnalit \u041D\u0430\u0438\u043C\u0421\u043F\u0440 \u041D\u0430\u0441\u0442\u0440\u043E\u0438\u0442\u044C\u041F\u0435\u0440\u0435\u0432\u043E\u0434\u044B\u0421\u0442\u0440\u043E\u043A \u041D\u0430\u0447\u041C\u0435\u0441 \u041D\u0430\u0447\u0422\u0440\u0430\u043D \u041D\u0438\u0436\u043D\u044F\u044F\u0413\u0440\u0430\u043D\u0438\u0446\u0430\u041C\u0430\u0441\u0441\u0438\u0432\u0430 \u041D\u043E\u043C\u0435\u0440\u0421\u043F\u0440 \u041D\u041F\u0435\u0440\u0438\u043E\u0434 \u041E\u043A\u043D\u043E \u041E\u043A\u0440 \u041E\u043A\u0440\u0443\u0436\u0435\u043D\u0438\u0435 \u041E\u0442\u043B\u0418\u043D\u0444\u0414\u043E\u0431\u0430\u0432\u0438\u0442\u044C \u041E\u0442\u043B\u0418\u043D\u0444\u0423\u0434\u0430\u043B\u0438\u0442\u044C \u041E\u0442\u0447\u0435\u0442 \u041E\u0442\u0447\u0435\u0442\u0410\u043D\u0430\u043B \u041E\u0442\u0447\u0435\u0442\u0418\u043D\u0442 \u041F\u0430\u043F\u043A\u0430\u0421\u0443\u0449\u0435\u0441\u0442\u0432\u0443\u0435\u0442 \u041F\u0430\u0443\u0437\u0430 \u041F\u0412\u044B\u0431\u043E\u0440SQL \u041F\u0435\u0440\u0435\u0438\u043C\u0435\u043D\u043E\u0432\u0430\u0442\u044C\u0424\u0430\u0439\u043B \u041F\u0435\u0440\u0435\u043C\u0435\u043D\u043D\u044B\u0435 \u041F\u0435\u0440\u0435\u043C\u0435\u0441\u0442\u0438\u0442\u044C\u0424\u0430\u0439\u043B \u041F\u043E\u0434\u0441\u0442\u0440 \u041F\u043E\u0438\u0441\u043A\u041F\u043E\u0434\u0441\u0442\u0440 \u041F\u043E\u0438\u0441\u043A\u0421\u0442\u0440 \u041F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0418\u0414\u0422\u0430\u0431\u043B\u0438\u0446\u044B \u041F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u0414\u043E\u043F\u043E\u043B\u043D\u0438\u0442\u0435\u043B\u044C\u043D\u043E \u041F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u0418\u0414 \u041F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u0418\u043C\u044F \u041F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u0421\u0442\u0430\u0442\u0443\u0441 \u041F\u0440\u0435\u0440\u0432\u0430\u0442\u044C \u041F\u0440\u043E\u0432\u0435\u0440\u0438\u0442\u044C\u041F\u0430\u0440\u0430\u043C\u0435\u0442\u0440 \u041F\u0440\u043E\u0432\u0435\u0440\u0438\u0442\u044C\u041F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0417\u043D\u0430\u0447 \u041F\u0440\u043E\u0432\u0435\u0440\u0438\u0442\u044C\u0423\u0441\u043B\u043E\u0432\u0438\u0435 \u0420\u0430\u0437\u0431\u0421\u0442\u0440 \u0420\u0430\u0437\u043D\u0412\u0440\u0435\u043C\u044F \u0420\u0430\u0437\u043D\u0414\u0430\u0442 \u0420\u0430\u0437\u043D\u0414\u0430\u0442\u0430\u0412\u0440\u0435\u043C\u044F \u0420\u0430\u0437\u043D\u0420\u0430\u0431\u0412\u0440\u0435\u043C\u044F \u0420\u0435\u0433\u0423\u0441\u0442\u0412\u0440\u0435\u043C \u0420\u0435\u0433\u0423\u0441\u0442\u0414\u0430\u0442 \u0420\u0435\u0433\u0423\u0441\u0442\u0427\u0441\u043B \u0420\u0435\u0434\u0422\u0435\u043A\u0441\u0442 \u0420\u0435\u0435\u0441\u0442\u0440\u0417\u0430\u043F\u0438\u0441\u044C \u0420\u0435\u0435\u0441\u0442\u0440\u0421\u043F\u0438\u0441\u043E\u043A\u0418\u043C\u0435\u043D\u041F\u0430\u0440\u0430\u043C \u0420\u0435\u0435\u0441\u0442\u0440\u0427\u0442\u0435\u043D\u0438\u0435 \u0420\u0435\u043A\u0432\u0421\u043F\u0440 \u0420\u0435\u043A\u0432\u0421\u043F\u0440\u041F\u0440 \u0421\u0435\u0433\u043E\u0434\u043D\u044F \u0421\u0435\u0439\u0447\u0430\u0441 \u0421\u0435\u0440\u0432\u0435\u0440 \u0421\u0435\u0440\u0432\u0435\u0440\u041F\u0440\u043E\u0446\u0435\u0441\u0441\u0418\u0414 \u0421\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u0424\u0430\u0439\u043B\u0421\u0447\u0438\u0442\u0430\u0442\u044C \u0421\u0436\u041F\u0440\u043E\u0431 \u0421\u0438\u043C\u0432\u043E\u043B \u0421\u0438\u0441\u0442\u0435\u043C\u0430\u0414\u0438\u0440\u0435\u043A\u0442\u0443\u043C\u041A\u043E\u0434 \u0421\u0438\u0441\u0442\u0435\u043C\u0430\u0418\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044F \u0421\u0438\u0441\u0442\u0435\u043C\u0430\u041A\u043E\u0434 \u0421\u043E\u0434\u0435\u0440\u0436\u0438\u0442 \u0421\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435\u0417\u0430\u043A\u0440\u044B\u0442\u044C \u0421\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435\u041E\u0442\u043A\u0440\u044B\u0442\u044C \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0414\u0438\u0430\u043B\u043E\u0433 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0414\u0438\u0430\u043B\u043E\u0433\u0412\u044B\u0431\u043E\u0440\u0430\u0418\u0437\u0414\u0432\u0443\u0445\u0421\u043F\u0438\u0441\u043A\u043E\u0432 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0414\u0438\u0430\u043B\u043E\u0433\u0412\u044B\u0431\u043E\u0440\u0430\u041F\u0430\u043F\u043A\u0438 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0414\u0438\u0430\u043B\u043E\u0433\u041E\u0442\u043A\u0440\u044B\u0442\u0438\u044F\u0424\u0430\u0439\u043B\u0430 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0414\u0438\u0430\u043B\u043E\u0433\u0421\u043E\u0445\u0440\u0430\u043D\u0435\u043D\u0438\u044F\u0424\u0430\u0439\u043B\u0430 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0417\u0430\u043F\u0440\u043E\u0441 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0418\u043D\u0434\u0438\u043A\u0430\u0442\u043E\u0440 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0418\u0441\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u041A\u044D\u0448\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u044B\u0439\u0421\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u041C\u0430\u0441\u0441\u0438\u0432 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u041D\u0430\u0431\u043E\u0440\u0414\u0430\u043D\u043D\u044B\u0445 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u041E\u0431\u044A\u0435\u043A\u0442 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u041E\u0442\u0447\u0435\u0442 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u041F\u0430\u043F\u043A\u0443 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0420\u0435\u0434\u0430\u043A\u0442\u043E\u0440 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0421\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0421\u043F\u0438\u0441\u043E\u043A \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0421\u043F\u0438\u0441\u043E\u043A\u0421\u0442\u0440\u043E\u043A \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0421\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0421\u0446\u0435\u043D\u0430\u0440\u0438\u0439 \u0421\u043E\u0437\u0434\u0421\u043F\u0440 \u0421\u043E\u0441\u0442\u0421\u043F\u0440 \u0421\u043E\u0445\u0440 \u0421\u043E\u0445\u0440\u0421\u043F\u0440 \u0421\u043F\u0438\u0441\u043E\u043A\u0421\u0438\u0441\u0442\u0435\u043C \u0421\u043F\u0440 \u0421\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A \u0421\u043F\u0440\u0411\u043B\u043E\u043A\u0415\u0441\u0442\u044C \u0421\u043F\u0440\u0411\u043B\u043E\u043A\u0421\u043D\u044F\u0442\u044C \u0421\u043F\u0440\u0411\u043B\u043E\u043A\u0421\u043D\u044F\u0442\u044C\u0420\u0430\u0441\u0448 \u0421\u043F\u0440\u0411\u043B\u043E\u043A\u0423\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C \u0421\u043F\u0440\u0418\u0437\u043C\u041D\u0430\u0431\u0414\u0430\u043D \u0421\u043F\u0440\u041A\u043E\u0434 \u0421\u043F\u0440\u041D\u043E\u043C\u0435\u0440 \u0421\u043F\u0440\u041E\u0431\u043D\u043E\u0432\u0438\u0442\u044C \u0421\u043F\u0440\u041E\u0442\u043A\u0440\u044B\u0442\u044C \u0421\u043F\u0440\u041E\u0442\u043C\u0435\u043D\u0438\u0442\u044C \u0421\u043F\u0440\u041F\u0430\u0440\u0430\u043C \u0421\u043F\u0440\u041F\u043E\u043B\u0435\u0417\u043D\u0430\u0447 \u0421\u043F\u0440\u041F\u043E\u043B\u0435\u0418\u043C\u044F \u0421\u043F\u0440\u0420\u0435\u043A\u0432 \u0421\u043F\u0440\u0420\u0435\u043A\u0432\u0412\u0432\u0435\u0434\u0417\u043D \u0421\u043F\u0440\u0420\u0435\u043A\u0432\u041D\u043E\u0432\u044B\u0435 \u0421\u043F\u0440\u0420\u0435\u043A\u0432\u041F\u0440 \u0421\u043F\u0440\u0420\u0435\u043A\u0432\u041F\u0440\u0435\u0434\u0417\u043D \u0421\u043F\u0440\u0420\u0435\u043A\u0432\u0420\u0435\u0436\u0438\u043C \u0421\u043F\u0440\u0420\u0435\u043A\u0432\u0422\u0438\u043F\u0422\u0435\u043A\u0441\u0442 \u0421\u043F\u0440\u0421\u043E\u0437\u0434\u0430\u0442\u044C \u0421\u043F\u0440\u0421\u043E\u0441\u0442 \u0421\u043F\u0440\u0421\u043E\u0445\u0440\u0430\u043D\u0438\u0442\u044C \u0421\u043F\u0440\u0422\u0431\u043B\u0418\u0442\u043E\u0433 \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440 \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u041A\u043E\u043B \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u041C\u0430\u043A\u0441 \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u041C\u0438\u043D \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u041F\u0440\u0435\u0434 \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u0421\u043B\u0435\u0434 \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u0421\u043E\u0437\u0434 \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u0423\u0434 \u0421\u043F\u0440\u0422\u0435\u043A\u041F\u0440\u0435\u0434\u0441\u0442 \u0421\u043F\u0440\u0423\u0434\u0430\u043B\u0438\u0442\u044C \u0421\u0440\u0430\u0432\u043D\u0438\u0442\u044C\u0421\u0442\u0440 \u0421\u0442\u0440\u0412\u0435\u0440\u0445\u0420\u0435\u0433\u0438\u0441\u0442\u0440 \u0421\u0442\u0440\u041D\u0438\u0436\u043D\u0420\u0435\u0433\u0438\u0441\u0442\u0440 \u0421\u0442\u0440\u0422\u0431\u043B\u0421\u043F\u0440 \u0421\u0443\u043C\u041F\u0440\u043E\u043F \u0421\u0446\u0435\u043D\u0430\u0440\u0438\u0439 \u0421\u0446\u0435\u043D\u0430\u0440\u0438\u0439\u041F\u0430\u0440\u0430\u043C \u0422\u0435\u043A\u0412\u0435\u0440\u0441\u0438\u044F \u0422\u0435\u043A\u041E\u0440\u0433 \u0422\u043E\u0447\u043D \u0422\u0440\u0430\u043D \u0422\u0440\u0430\u043D\u0441\u043B\u0438\u0442\u0435\u0440\u0430\u0446\u0438\u044F \u0423\u0434\u0430\u043B\u0438\u0442\u044C\u0422\u0430\u0431\u043B\u0438\u0446\u0443 \u0423\u0434\u0430\u043B\u0438\u0442\u044C\u0424\u0430\u0439\u043B \u0423\u0434\u0421\u043F\u0440 \u0423\u0434\u0421\u0442\u0440\u0422\u0431\u043B\u0421\u043F\u0440 \u0423\u0441\u0442 \u0423\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0438\u041A\u043E\u043D\u0441\u0442\u0430\u043D\u0442 \u0424\u0430\u0439\u043B\u0410\u0442\u0440\u0438\u0431\u0443\u0442\u0421\u0447\u0438\u0442\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u0410\u0442\u0440\u0438\u0431\u0443\u0442\u0423\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C \u0424\u0430\u0439\u043B\u0412\u0440\u0435\u043C\u044F \u0424\u0430\u0439\u043B\u0412\u0440\u0435\u043C\u044F\u0423\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C \u0424\u0430\u0439\u043B\u0412\u044B\u0431\u0440\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u0417\u0430\u043D\u044F\u0442 \u0424\u0430\u0439\u043B\u0417\u0430\u043F\u0438\u0441\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u0418\u0441\u043A\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u041A\u043E\u043F\u0438\u0440\u043E\u0432\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u041C\u043E\u0436\u043D\u043E\u0427\u0438\u0442\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u041E\u0442\u043A\u0440\u044B\u0442\u044C \u0424\u0430\u0439\u043B\u041F\u0435\u0440\u0435\u0438\u043C\u0435\u043D\u043E\u0432\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u041F\u0435\u0440\u0435\u043A\u043E\u0434\u0438\u0440\u043E\u0432\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u041F\u0435\u0440\u0435\u043C\u0435\u0441\u0442\u0438\u0442\u044C \u0424\u0430\u0439\u043B\u041F\u0440\u043E\u0441\u043C\u043E\u0442\u0440\u0435\u0442\u044C \u0424\u0430\u0439\u043B\u0420\u0430\u0437\u043C\u0435\u0440 \u0424\u0430\u0439\u043B\u0421\u043E\u0437\u0434\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u0421\u0441\u044B\u043B\u043A\u0430\u0421\u043E\u0437\u0434\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u0421\u0443\u0449\u0435\u0441\u0442\u0432\u0443\u0435\u0442 \u0424\u0430\u0439\u043B\u0421\u0447\u0438\u0442\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u0423\u0434\u0430\u043B\u0438\u0442\u044C \u0424\u043C\u0442SQL\u0414\u0430\u0442 \u0424\u043C\u0442\u0414\u0430\u0442 \u0424\u043C\u0442\u0421\u0442\u0440 \u0424\u043C\u0442\u0427\u0441\u043B \u0424\u043E\u0440\u043C\u0430\u0442 \u0426\u041C\u0430\u0441\u0441\u0438\u0432\u042D\u043B\u0435\u043C\u0435\u043D\u0442 \u0426\u041D\u0430\u0431\u043E\u0440\u0414\u0430\u043D\u043D\u044B\u0445\u0420\u0435\u043A\u0432\u0438\u0437\u0438\u0442 \u0426\u041F\u043E\u0434\u0441\u0442\u0440 ",Fi="AltState Application CallType ComponentTokens CreatedJobs CreatedNotices ControlState DialogResult Dialogs EDocuments EDocumentVersionSource Folders GlobalIDs Job Jobs InputValue LookUpReference LookUpRequisiteNames LookUpSearch Object ParentComponent Processes References Requisite ReportName Reports Result Scripts Searches SelectedAttachments SelectedItems SelectMode Sender ServerEvents ServiceFactory ShiftState SubTask SystemDialogs Tasks Wizard Wizards Work \u0412\u044B\u0437\u043E\u0432\u0421\u043F\u043E\u0441\u043E\u0431 \u0418\u043C\u044F\u041E\u0442\u0447\u0435\u0442\u0430 \u0420\u0435\u043A\u0432\u0417\u043D\u0430\u0447 ",Ui="IApplication IAccessRights IAccountRepository IAccountSelectionRestrictions IAction IActionList IAdministrationHistoryDescription IAnchors IApplication IArchiveInfo IAttachment IAttachmentList ICheckListBox ICheckPointedList IColumn IComponent IComponentDescription IComponentToken IComponentTokenFactory IComponentTokenInfo ICompRecordInfo IConnection IContents IControl IControlJob IControlJobInfo IControlList ICrypto ICrypto2 ICustomJob ICustomJobInfo ICustomListBox ICustomObjectWizardStep ICustomWork ICustomWorkInfo IDataSet IDataSetAccessInfo IDataSigner IDateCriterion IDateRequisite IDateRequisiteDescription IDateValue IDeaAccessRights IDeaObjectInfo IDevelopmentComponentLock IDialog IDialogFactory IDialogPickRequisiteItems IDialogsFactory IDICSFactory IDocRequisite IDocumentInfo IDualListDialog IECertificate IECertificateInfo IECertificates IEditControl IEditorForm IEdmsExplorer IEdmsObject IEdmsObjectDescription IEdmsObjectFactory IEdmsObjectInfo IEDocument IEDocumentAccessRights IEDocumentDescription IEDocumentEditor IEDocumentFactory IEDocumentInfo IEDocumentStorage IEDocumentVersion IEDocumentVersionListDialog IEDocumentVersionSource IEDocumentWizardStep IEDocVerSignature IEDocVersionState IEnabledMode IEncodeProvider IEncrypter IEvent IEventList IException IExternalEvents IExternalHandler IFactory IField IFileDialog IFolder IFolderDescription IFolderDialog IFolderFactory IFolderInfo IForEach IForm IFormTitle IFormWizardStep IGlobalIDFactory IGlobalIDInfo IGrid IHasher IHistoryDescription IHyperLinkControl IImageButton IImageControl IInnerPanel IInplaceHint IIntegerCriterion IIntegerList IIntegerRequisite IIntegerValue IISBLEditorForm IJob IJobDescription IJobFactory IJobForm IJobInfo ILabelControl ILargeIntegerCriterion ILargeIntegerRequisite ILargeIntegerValue ILicenseInfo ILifeCycleStage IList IListBox ILocalIDInfo ILocalization ILock IMemoryDataSet IMessagingFactory IMetadataRepository INotice INoticeInfo INumericCriterion INumericRequisite INumericValue IObject IObjectDescription IObjectImporter IObjectInfo IObserver IPanelGroup IPickCriterion IPickProperty IPickRequisite IPickRequisiteDescription IPickRequisiteItem IPickRequisiteItems IPickValue IPrivilege IPrivilegeList IProcess IProcessFactory IProcessMessage IProgress IProperty IPropertyChangeEvent IQuery IReference IReferenceCriterion IReferenceEnabledMode IReferenceFactory IReferenceHistoryDescription IReferenceInfo IReferenceRecordCardWizardStep IReferenceRequisiteDescription IReferencesFactory IReferenceValue IRefRequisite IReport IReportFactory IRequisite IRequisiteDescription IRequisiteDescriptionList IRequisiteFactory IRichEdit IRouteStep IRule IRuleList ISchemeBlock IScript IScriptFactory ISearchCriteria ISearchCriterion ISearchDescription ISearchFactory ISearchFolderInfo ISearchForObjectDescription ISearchResultRestrictions ISecuredContext ISelectDialog IServerEvent IServerEventFactory IServiceDialog IServiceFactory ISignature ISignProvider ISignProvider2 ISignProvider3 ISimpleCriterion IStringCriterion IStringList IStringRequisite IStringRequisiteDescription IStringValue ISystemDialogsFactory ISystemInfo ITabSheet ITask ITaskAbortReasonInfo ITaskCardWizardStep ITaskDescription ITaskFactory ITaskInfo ITaskRoute ITextCriterion ITextRequisite ITextValue ITreeListSelectDialog IUser IUserList IValue IView IWebBrowserControl IWizard IWizardAction IWizardFactory IWizardFormElement IWizardParam IWizardPickParam IWizardReferenceParam IWizardStep IWorkAccessRights IWorkDescription IWorkflowAskableParam IWorkflowAskableParams IWorkflowBlock IWorkflowBlockResult IWorkflowEnabledMode IWorkflowParam IWorkflowPickParam IWorkflowReferenceParam IWorkState IWorkTreeCustomNode IWorkTreeJobNode IWorkTreeTaskNode IXMLEditorForm SBCrypto ",Gi=le+Pi,lr=Fi,St="null true false nil ",cr={className:"number",begin:e.NUMBER_RE,relevance:0},Pr={className:"string",variants:[{begin:'"',end:'"'},{begin:"'",end:"'"}]},Br={className:"doctag",begin:"\\b(?:TODO|DONE|BEGIN|END|STUB|CHG|FIXME|NOTE|BUG|XXX)\\b",relevance:0},Fr={className:"comment",begin:"//",end:"$",relevance:0,contains:[e.PHRASAL_WORDS_MODE,Br]},qi={className:"comment",begin:"/\\*",end:"\\*/",relevance:0,contains:[e.PHRASAL_WORDS_MODE,Br]},Ur={variants:[Fr,qi]},kn={$pattern:t,keyword:r,built_in:Gi,class:lr,literal:St},ur={begin:"\\.\\s*"+e.UNDERSCORE_IDENT_RE,keywords:kn,relevance:0},En={className:"type",begin:":[ \\t]*("+Ui.trim().replace(/\s/g,"|")+")",end:"[ \\t]*=",excludeEnd:!0},dr={className:"variable",keywords:kn,begin:t,relevance:0,contains:[En,ur]},_r=n+"\\(";return{name:"ISBL",case_insensitive:!0,keywords:kn,illegal:"\\$|\\?|%|,|;$|~|#|@|oc(e,t,n-1))}function IW(e){const t=e.regex,n="[\xC0-\u02B8a-zA-Z_$][\xC0-\u02B8a-zA-Z_$0-9]*",r=n+oc("(?:<"+n+"~~~(?:\\s*,\\s*"+n+"~~~)*>)?",/~~~/g,2),d={keyword:["synchronized","abstract","private","var","static","if","const ","for","while","strictfp","finally","protected","import","native","final","void","enum","else","break","transient","catch","instanceof","volatile","case","assert","package","default","public","try","switch","continue","throws","protected","public","private","module","requires","exports","do","sealed","yield","permits"],literal:["false","true","null"],type:["char","boolean","long","float","int","byte","short","double"],built_in:["super","this"]},g={className:"meta",begin:"@"+n,contains:[{begin:/\(/,end:/\)/,contains:["self"]}]},f={className:"params",begin:/\(/,end:/\)/,keywords:d,relevance:0,contains:[e.C_BLOCK_COMMENT_MODE],endsParent:!0};return{name:"Java",aliases:["jsp"],keywords:d,illegal:/<\/|#/,contains:[e.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:"@[A-Za-z]+"}]}),{begin:/import java\.[a-z]+\./,keywords:"import",relevance:2},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{begin:/"""/,end:/"""/,className:"string",contains:[e.BACKSLASH_ESCAPE]},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{match:[/\b(?:class|interface|enum|extends|implements|new)/,/\s+/,n],className:{1:"keyword",3:"title.class"}},{match:/non-sealed/,scope:"keyword"},{begin:[t.concat(/(?!else)/,n),/\s+/,n,/\s+/,/=(?!=)/],className:{1:"type",3:"variable",5:"operator"}},{begin:[/record/,/\s+/,n],className:{1:"keyword",3:"title.class"},contains:[f,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{beginKeywords:"new throw return else",relevance:0},{begin:["(?:"+r+"\\s+)",e.UNDERSCORE_IDENT_RE,/\s*(?=\()/],className:{2:"title.function"},keywords:d,contains:[{className:"params",begin:/\(/,end:/\)/,keywords:d,relevance:0,contains:[g,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,il,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},il,g]}}var DW=IW;const al="[A-Za-z$_][0-9A-Za-z$_]*",xW=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],wW=["true","false","null","undefined","NaN","Infinity"],sc=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],lc=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],cc=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],MW=["arguments","this","super","console","window","document","localStorage","sessionStorage","module","global"],LW=[].concat(cc,sc,lc);function kW(e){const t=e.regex,n=(re,{after:Z})=>{const j="",end:""},l=/<[A-Za-z0-9\\._:-]+\s*\/>/,u={begin:/<[A-Za-z0-9\\._:-]+/,end:/\/[A-Za-z0-9\\._:-]+>|\/>/,isTrulyOpeningTag:(re,Z)=>{const j=re[0].length+re.index,U=re.input[j];if(U==="<"||U===","){Z.ignoreMatch();return}U===">"&&(n(re,{after:j})||Z.ignoreMatch());let Q;const X=re.input.substring(j);if(Q=X.match(/^\s*=/)){Z.ignoreMatch();return}if((Q=X.match(/^\s+extends\s+/))&&Q.index===0){Z.ignoreMatch();return}}},c={$pattern:al,keyword:xW,literal:wW,built_in:LW,"variable.language":MW},d="[0-9](_?[0-9])*",g=`\\.(${d})`,f="0|[1-9](_?[0-9])*|0[0-7]*[89][0-9]*",h={className:"number",variants:[{begin:`(\\b(${f})((${g})|\\.)?|(${g}))[eE][+-]?(${d})\\b`},{begin:`\\b(${f})\\b((${g})\\b|\\.)?|(${g})\\b`},{begin:"\\b(0|[1-9](_?[0-9])*)n\\b"},{begin:"\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*n?\\b"},{begin:"\\b0[bB][0-1](_?[0-1])*n?\\b"},{begin:"\\b0[oO][0-7](_?[0-7])*n?\\b"},{begin:"\\b0[0-7]+n?\\b"}],relevance:0},p={className:"subst",begin:"\\$\\{",end:"\\}",keywords:c,contains:[]},m={begin:"html`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,p],subLanguage:"xml"}},y={begin:"css`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,p],subLanguage:"css"}},b={begin:"gql`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,p],subLanguage:"graphql"}},C={className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,p]},E=e.COMMENT(/\/\*\*(?!\/)/,"\\*/",{relevance:0,contains:[{begin:"(?=@[A-Za-z]+)",relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"},{className:"type",begin:"\\{",end:"\\}",excludeEnd:!0,excludeBegin:!0,relevance:0},{className:"variable",begin:r+"(?=\\s*(-)|$)",endsParent:!0,relevance:0},{begin:/(?=[^\n])\s/,relevance:0}]}]}),v={className:"comment",variants:[E,e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE]},O=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,m,y,b,C,{match:/\$\d+/},h];p.contains=O.concat({begin:/\{/,end:/\}/,keywords:c,contains:["self"].concat(O)});const S=[].concat(v,p.contains),A=S.concat([{begin:/\(/,end:/\)/,keywords:c,contains:["self"].concat(S)}]),P={className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:c,contains:A},F={variants:[{match:[/class/,/\s+/,r,/\s+/,/extends/,/\s+/,t.concat(r,"(",t.concat(/\./,r),")*")],scope:{1:"keyword",3:"title.class",5:"keyword",7:"title.class.inherited"}},{match:[/class/,/\s+/,r],scope:{1:"keyword",3:"title.class"}}]},G={relevance:0,match:t.either(/\bJSON/,/\b[A-Z][a-z]+([A-Z][a-z]*|\d)*/,/\b[A-Z]{2,}([A-Z][a-z]+|\d)+([A-Z][a-z]*)*/,/\b[A-Z]{2,}[a-z]+([A-Z][a-z]+|\d)*([A-Z][a-z]*)*/),className:"title.class",keywords:{_:[...sc,...lc]}},z={label:"use_strict",className:"meta",relevance:10,begin:/^\s*['"]use (strict|asm)['"]/},k={variants:[{match:[/function/,/\s+/,r,/(?=\s*\()/]},{match:[/function/,/\s*(?=\()/]}],className:{1:"keyword",3:"title.function"},label:"func.def",contains:[P],illegal:/%/},L={relevance:0,match:/\b[A-Z][A-Z_0-9]+\b/,className:"variable.constant"};function w(re){return t.concat("(?!",re.join("|"),")")}const M={match:t.concat(/\b/,w([...cc,"super","import"]),r,t.lookahead(/\(/)),className:"title.function",relevance:0},x={begin:t.concat(/\./,t.lookahead(t.concat(r,/(?![0-9A-Za-z$_(])/))),end:r,excludeBegin:!0,keywords:"prototype",className:"property",relevance:0},Y={match:[/get|set/,/\s+/,r,/(?=\()/],className:{1:"keyword",3:"title.function"},contains:[{begin:/\(\)/},P]},J="(\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)|"+e.UNDERSCORE_IDENT_RE+")\\s*=>",K={match:[/const|var|let/,/\s+/,r,/\s*/,/=\s*/,/(async\s*)?/,t.lookahead(J)],keywords:"async",className:{1:"keyword",3:"title.function"},contains:[P]};return{name:"JavaScript",aliases:["js","jsx","mjs","cjs"],keywords:c,exports:{PARAMS_CONTAINS:A,CLASS_REFERENCE:G},illegal:/#(?![$_A-z])/,contains:[e.SHEBANG({label:"shebang",binary:"node",relevance:5}),z,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,m,y,b,C,v,{match:/\$\d+/},h,G,{className:"attr",begin:r+t.lookahead(":"),relevance:0},K,{begin:"("+e.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",relevance:0,contains:[v,e.REGEXP_MODE,{className:"function",begin:J,returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:e.UNDERSCORE_IDENT_RE,relevance:0},{className:null,begin:/\(\s*\)/,skip:!0},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:c,contains:A}]}]},{begin:/,/,relevance:0},{match:/\s+/,relevance:0},{variants:[{begin:a.begin,end:a.end},{match:l},{begin:u.begin,"on:begin":u.isTrulyOpeningTag,end:u.end}],subLanguage:"xml",contains:[{begin:u.begin,end:u.end,skip:!0,contains:["self"]}]}]},k,{beginKeywords:"while if switch catch for"},{begin:"\\b(?!function)"+e.UNDERSCORE_IDENT_RE+"\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)\\s*\\{",returnBegin:!0,label:"func.def",contains:[P,e.inherit(e.TITLE_MODE,{begin:r,className:"title.function"})]},{match:/\.\.\./,relevance:0},x,{match:"\\$"+r,relevance:0},{match:[/\bconstructor(?=\s*\()/],className:{1:"title.function"},contains:[P]},M,L,F,Y,{match:/\$[(.]/}]}}var PW=kW;function BW(e){const n={className:"params",begin:/\(/,end:/\)/,contains:[{begin:/[\w-]+ *=/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/[\w-]+/}]}],relevance:0},r={className:"function",begin:/:[\w\-.]+/,relevance:0},a={className:"string",begin:/\B([\/.])[\w\-.\/=]+/},l={className:"params",begin:/--[\w\-=\/]+/};return{name:"JBoss CLI",aliases:["wildfly-cli"],keywords:{$pattern:"[a-z-]+",keyword:"alias batch cd clear command connect connection-factory connection-info data-source deploy deployment-info deployment-overlay echo echo-dmr help history if jdbc-driver-info jms-queue|20 jms-topic|20 ls patch pwd quit read-attribute read-operation reload rollout-plan run-batch set shutdown try unalias undeploy unset version xa-data-source",literal:"true false"},contains:[e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,l,r,a,n]}}var FW=BW;function UW(e){const t={className:"attr",begin:/"(\\.|[^\\"\r\n])*"(?=\s*:)/,relevance:1.01},n={match:/[{}[\],:]/,className:"punctuation",relevance:0},r=["true","false","null"],a={scope:"literal",beginKeywords:r.join(" ")};return{name:"JSON",keywords:{literal:r},contains:[t,n,e.QUOTE_STRING_MODE,a,e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE],illegal:"\\S"}}var GW=UW;function qW(e){const t="[A-Za-z_\\u00A1-\\uFFFF][A-Za-z_0-9\\u00A1-\\uFFFF]*",l={$pattern:t,keyword:["baremodule","begin","break","catch","ccall","const","continue","do","else","elseif","end","export","false","finally","for","function","global","if","import","in","isa","let","local","macro","module","quote","return","true","try","using","where","while"],literal:["ARGS","C_NULL","DEPOT_PATH","ENDIAN_BOM","ENV","Inf","Inf16","Inf32","Inf64","InsertionSort","LOAD_PATH","MergeSort","NaN","NaN16","NaN32","NaN64","PROGRAM_FILE","QuickSort","RoundDown","RoundFromZero","RoundNearest","RoundNearestTiesAway","RoundNearestTiesUp","RoundToZero","RoundUp","VERSION|0","devnull","false","im","missing","nothing","pi","stderr","stdin","stdout","true","undef","\u03C0","\u212F"],built_in:["AbstractArray","AbstractChannel","AbstractChar","AbstractDict","AbstractDisplay","AbstractFloat","AbstractIrrational","AbstractMatrix","AbstractRange","AbstractSet","AbstractString","AbstractUnitRange","AbstractVecOrMat","AbstractVector","Any","ArgumentError","Array","AssertionError","BigFloat","BigInt","BitArray","BitMatrix","BitSet","BitVector","Bool","BoundsError","CapturedException","CartesianIndex","CartesianIndices","Cchar","Cdouble","Cfloat","Channel","Char","Cint","Cintmax_t","Clong","Clonglong","Cmd","Colon","Complex","ComplexF16","ComplexF32","ComplexF64","CompositeException","Condition","Cptrdiff_t","Cshort","Csize_t","Cssize_t","Cstring","Cuchar","Cuint","Cuintmax_t","Culong","Culonglong","Cushort","Cvoid","Cwchar_t","Cwstring","DataType","DenseArray","DenseMatrix","DenseVecOrMat","DenseVector","Dict","DimensionMismatch","Dims","DivideError","DomainError","EOFError","Enum","ErrorException","Exception","ExponentialBackOff","Expr","Float16","Float32","Float64","Function","GlobalRef","HTML","IO","IOBuffer","IOContext","IOStream","IdDict","IndexCartesian","IndexLinear","IndexStyle","InexactError","InitError","Int","Int128","Int16","Int32","Int64","Int8","Integer","InterruptException","InvalidStateException","Irrational","KeyError","LinRange","LineNumberNode","LinearIndices","LoadError","MIME","Matrix","Method","MethodError","Missing","MissingException","Module","NTuple","NamedTuple","Nothing","Number","OrdinalRange","OutOfMemoryError","OverflowError","Pair","PartialQuickSort","PermutedDimsArray","Pipe","ProcessFailedException","Ptr","QuoteNode","Rational","RawFD","ReadOnlyMemoryError","Real","ReentrantLock","Ref","Regex","RegexMatch","RoundingMode","SegmentationFault","Set","Signed","Some","StackOverflowError","StepRange","StepRangeLen","StridedArray","StridedMatrix","StridedVecOrMat","StridedVector","String","StringIndexError","SubArray","SubString","SubstitutionString","Symbol","SystemError","Task","TaskFailedException","Text","TextDisplay","Timer","Tuple","Type","TypeError","TypeVar","UInt","UInt128","UInt16","UInt32","UInt64","UInt8","UndefInitializer","UndefKeywordError","UndefRefError","UndefVarError","Union","UnionAll","UnitRange","Unsigned","Val","Vararg","VecElement","VecOrMat","Vector","VersionNumber","WeakKeyDict","WeakRef"]},u={keywords:l,illegal:/<\//},c={className:"number",begin:/(\b0x[\d_]*(\.[\d_]*)?|0x\.\d[\d_]*)p[-+]?\d+|\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\b\d[\d_]*(\.[\d_]*)?|\.\d[\d_]*)([eEfF][-+]?\d+)?/,relevance:0},d={className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},g={className:"subst",begin:/\$\(/,end:/\)/,keywords:l},f={className:"variable",begin:"\\$"+t},h={className:"string",contains:[e.BACKSLASH_ESCAPE,g,f],variants:[{begin:/\w*"""/,end:/"""\w*/,relevance:10},{begin:/\w*"/,end:/"\w*/}]},p={className:"string",contains:[e.BACKSLASH_ESCAPE,g,f],begin:"`",end:"`"},m={className:"meta",begin:"@"+t},y={className:"comment",variants:[{begin:"#=",end:"=#",relevance:10},{begin:"#",end:"$"}]};return u.name="Julia",u.contains=[c,d,h,p,m,y,e.HASH_COMMENT_MODE,{className:"keyword",begin:"\\b(((abstract|primitive)\\s+)type|(mutable\\s+)?struct)\\b"},{begin:/<:/}],g.contains=u.contains,u}var YW=qW;function zW(e){return{name:"Julia REPL",contains:[{className:"meta.prompt",begin:/^julia>/,relevance:10,starts:{end:/^(?![ ]{6})/,subLanguage:"julia"}}],aliases:["jldoctest"]}}var $W=zW,Vn="[0-9](_*[0-9])*",si=`\\.(${Vn})`,li="[0-9a-fA-F](_*[0-9a-fA-F])*",HW={className:"number",variants:[{begin:`(\\b(${Vn})((${si})|\\.)?|(${si}))[eE][+-]?(${Vn})[fFdD]?\\b`},{begin:`\\b(${Vn})((${si})[fFdD]?\\b|\\.([fFdD]\\b)?)`},{begin:`(${si})[fFdD]?\\b`},{begin:`\\b(${Vn})[fFdD]\\b`},{begin:`\\b0[xX]((${li})\\.?|(${li})?\\.(${li}))[pP][+-]?(${Vn})[fFdD]?\\b`},{begin:"\\b(0|[1-9](_*[0-9])*)[lL]?\\b"},{begin:`\\b0[xX](${li})[lL]?\\b`},{begin:"\\b0(_*[0-7])*[lL]?\\b"},{begin:"\\b0[bB][01](_*[01])*[lL]?\\b"}],relevance:0};function VW(e){const t={keyword:"abstract as val var vararg get set class object open private protected public noinline crossinline dynamic final enum if else do while for when throw try catch finally import package is in fun override companion reified inline lateinit init interface annotation data sealed internal infix operator out by constructor super tailrec where const inner suspend typealias external expect actual",built_in:"Byte Short Char Int Long Boolean Float Double Void Unit Nothing",literal:"true false null"},n={className:"keyword",begin:/\b(break|continue|return|this)\b/,starts:{contains:[{className:"symbol",begin:/@\w+/}]}},r={className:"symbol",begin:e.UNDERSCORE_IDENT_RE+"@"},a={className:"subst",begin:/\$\{/,end:/\}/,contains:[e.C_NUMBER_MODE]},l={className:"variable",begin:"\\$"+e.UNDERSCORE_IDENT_RE},u={className:"string",variants:[{begin:'"""',end:'"""(?=[^"])',contains:[l,a]},{begin:"'",end:"'",illegal:/\n/,contains:[e.BACKSLASH_ESCAPE]},{begin:'"',end:'"',illegal:/\n/,contains:[e.BACKSLASH_ESCAPE,l,a]}]};a.contains.push(u);const c={className:"meta",begin:"@(?:file|property|field|get|set|receiver|param|setparam|delegate)\\s*:(?:\\s*"+e.UNDERSCORE_IDENT_RE+")?"},d={className:"meta",begin:"@"+e.UNDERSCORE_IDENT_RE,contains:[{begin:/\(/,end:/\)/,contains:[e.inherit(u,{className:"string"}),"self"]}]},g=HW,f=e.COMMENT("/\\*","\\*/",{contains:[e.C_BLOCK_COMMENT_MODE]}),h={variants:[{className:"type",begin:e.UNDERSCORE_IDENT_RE},{begin:/\(/,end:/\)/,contains:[]}]},p=h;return p.variants[1].contains=[h],h.variants[1].contains=[p],{name:"Kotlin",aliases:["kt","kts"],keywords:t,contains:[e.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),e.C_LINE_COMMENT_MODE,f,n,r,c,d,{className:"function",beginKeywords:"fun",end:"[(]|$",returnBegin:!0,excludeEnd:!0,keywords:t,relevance:5,contains:[{begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"type",begin://,keywords:"reified",relevance:0},{className:"params",begin:/\(/,end:/\)/,endsParent:!0,keywords:t,relevance:0,contains:[{begin:/:/,end:/[=,\/]/,endsWithParent:!0,contains:[h,e.C_LINE_COMMENT_MODE,f],relevance:0},e.C_LINE_COMMENT_MODE,f,c,d,u,e.C_NUMBER_MODE]},f]},{begin:[/class|interface|trait/,/\s+/,e.UNDERSCORE_IDENT_RE],beginScope:{3:"title.class"},keywords:"class interface trait",end:/[:\{(]|$/,excludeEnd:!0,illegal:"extends implements",contains:[{beginKeywords:"public protected internal private constructor"},e.UNDERSCORE_TITLE_MODE,{className:"type",begin://,excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:/[,:]\s*/,end:/[<\(,){\s]|$/,excludeBegin:!0,returnEnd:!0},c,d]},u,{className:"meta",begin:"^#!/usr/bin/env",end:"$",illegal:` `},g]}}var WW=VW;function KW(e){const t="[a-zA-Z_][\\w.]*",n="<\\?(lasso(script)?|=)",r="\\]|\\?>",a={$pattern:t+"|&[lg]t;",literal:"true false none minimal full all void and or not bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft",built_in:"array date decimal duration integer map pair string tag xml null boolean bytes keyword list locale queue set stack staticarray local var variable global data self inherited currentcapture givenblock",keyword:"cache database_names database_schemanames database_tablenames define_tag define_type email_batch encode_set html_comment handle handle_error header if inline iterate ljax_target link link_currentaction link_currentgroup link_currentrecord link_detail link_firstgroup link_firstrecord link_lastgroup link_lastrecord link_nextgroup link_nextrecord link_prevgroup link_prevrecord log loop namespace_using output_none portal private protect records referer referrer repeating resultset rows search_args search_arguments select sort_args sort_arguments thread_atomic value_list while abort case else fail_if fail_ifnot fail if_empty if_false if_null if_true loop_abort loop_continue loop_count params params_up return return_value run_children soap_definetag soap_lastrequest soap_lastresponse tag_name ascending average by define descending do equals frozen group handle_failure import in into join let match max min on order parent protected provide public require returnhome skip split_thread sum take thread to trait type where with yield yieldhome"},l=e.COMMENT("",{relevance:0}),u={className:"meta",begin:"\\[noprocess\\]",starts:{end:"\\[/noprocess\\]",returnEnd:!0,contains:[l]}},c={className:"meta",begin:"\\[/noprocess|"+n},d={className:"symbol",begin:"'"+t+"'"},g=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.inherit(e.C_NUMBER_MODE,{begin:e.C_NUMBER_RE+"|(-?infinity|NaN)\\b"}),e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"`",end:"`"},{variants:[{begin:"[#$]"+t},{begin:"#",end:"\\d+",illegal:"\\W"}]},{className:"type",begin:"::\\s*",end:t,illegal:"\\W"},{className:"params",variants:[{begin:"-(?!infinity)"+t,relevance:0},{begin:"(\\.\\.\\.)"}]},{begin:/(->|\.)\s*/,relevance:0,contains:[d]},{className:"class",beginKeywords:"define",returnEnd:!0,end:"\\(|=>",contains:[e.inherit(e.TITLE_MODE,{begin:t+"(=(?!>))?|[-+*/%](?!>)"})]}];return{name:"Lasso",aliases:["ls","lassoscript"],case_insensitive:!0,keywords:a,contains:[{className:"meta",begin:r,relevance:0,starts:{end:"\\[|"+n,returnEnd:!0,relevance:0,contains:[l]}},u,c,{className:"meta",begin:"\\[no_square_brackets",starts:{end:"\\[/no_square_brackets\\]",keywords:a,contains:[{className:"meta",begin:r,relevance:0,starts:{end:"\\[noprocess\\]|"+n,returnEnd:!0,contains:[l]}},u,c].concat(g)}},{className:"meta",begin:"\\[",relevance:0},{className:"meta",begin:"^#!",end:"lasso9$",relevance:10}].concat(g)}}var QW=KW;function jW(e){const n=e.regex.either(...["(?:NeedsTeXFormat|RequirePackage|GetIdInfo)","Provides(?:Expl)?(?:Package|Class|File)","(?:DeclareOption|ProcessOptions)","(?:documentclass|usepackage|input|include)","makeat(?:letter|other)","ExplSyntax(?:On|Off)","(?:new|renew|provide)?command","(?:re)newenvironment","(?:New|Renew|Provide|Declare)(?:Expandable)?DocumentCommand","(?:New|Renew|Provide|Declare)DocumentEnvironment","(?:(?:e|g|x)?def|let)","(?:begin|end)","(?:part|chapter|(?:sub){0,2}section|(?:sub)?paragraph)","caption","(?:label|(?:eq|page|name)?ref|(?:paren|foot|super)?cite)","(?:alpha|beta|[Gg]amma|[Dd]elta|(?:var)?epsilon|zeta|eta|[Tt]heta|vartheta)","(?:iota|(?:var)?kappa|[Ll]ambda|mu|nu|[Xx]i|[Pp]i|varpi|(?:var)rho)","(?:[Ss]igma|varsigma|tau|[Uu]psilon|[Pp]hi|varphi|chi|[Pp]si|[Oo]mega)","(?:frac|sum|prod|lim|infty|times|sqrt|leq|geq|left|right|middle|[bB]igg?)","(?:[lr]angle|q?quad|[lcvdi]?dots|d?dot|hat|tilde|bar)"].map(k=>k+"(?![a-zA-Z@:_])")),r=new RegExp(["(?:__)?[a-zA-Z]{2,}_[a-zA-Z](?:_?[a-zA-Z])+:[a-zA-Z]*","[lgc]__?[a-zA-Z](?:_?[a-zA-Z])*_[a-zA-Z]{2,}","[qs]__?[a-zA-Z](?:_?[a-zA-Z])+","use(?:_i)?:[a-zA-Z]*","(?:else|fi|or):","(?:if|cs|exp):w","(?:hbox|vbox):n","::[a-zA-Z]_unbraced","::[a-zA-Z:]"].map(k=>k+"(?![a-zA-Z:_])").join("|")),a=[{begin:/[a-zA-Z@]+/},{begin:/[^a-zA-Z@]?/}],l=[{begin:/\^{6}[0-9a-f]{6}/},{begin:/\^{5}[0-9a-f]{5}/},{begin:/\^{4}[0-9a-f]{4}/},{begin:/\^{3}[0-9a-f]{3}/},{begin:/\^{2}[0-9a-f]{2}/},{begin:/\^{2}[\u0000-\u007f]/}],u={className:"keyword",begin:/\\/,relevance:0,contains:[{endsParent:!0,begin:n},{endsParent:!0,begin:r},{endsParent:!0,variants:l},{endsParent:!0,relevance:0,variants:a}]},c={className:"params",relevance:0,begin:/#+\d?/},d={variants:l},g={className:"built_in",relevance:0,begin:/[$&^_]/},f={className:"meta",begin:/% ?!(T[eE]X|tex|BIB|bib)/,end:"$",relevance:10},h=e.COMMENT("%","$",{relevance:0}),p=[u,c,d,g,f,h],m={begin:/\{/,end:/\}/,relevance:0,contains:["self",...p]},y=e.inherit(m,{relevance:0,endsParent:!0,contains:[m,...p]}),b={begin:/\[/,end:/\]/,endsParent:!0,relevance:0,contains:[m,...p]},C={begin:/\s+/,relevance:0},E=[y],v=[b],O=function(k,L){return{contains:[C],starts:{relevance:0,contains:k,starts:L}}},S=function(k,L){return{begin:"\\\\"+k+"(?![a-zA-Z@:_])",keywords:{$pattern:/\\[a-zA-Z]+/,keyword:"\\"+k},relevance:0,contains:[C],starts:L}},A=function(k,L){return e.inherit({begin:"\\\\begin(?=[ ]*(\\r?\\n[ ]*)?\\{"+k+"\\})",keywords:{$pattern:/\\[a-zA-Z]+/,keyword:"\\begin"},relevance:0},O(E,L))},P=(k="string")=>e.END_SAME_AS_BEGIN({className:k,begin:/(.|\r?\n)/,end:/(.|\r?\n)/,excludeBegin:!0,excludeEnd:!0,endsParent:!0}),F=function(k){return{className:"string",end:"(?=\\\\end\\{"+k+"\\})"}},G=(k="string")=>({relevance:0,begin:/\{/,starts:{endsParent:!0,contains:[{className:k,end:/(?=\})/,endsParent:!0,contains:[{begin:/\{/,end:/\}/,relevance:0,contains:["self"]}]}]}}),z=[...["verb","lstinline"].map(k=>S(k,{contains:[P()]})),S("mint",O(E,{contains:[P()]})),S("mintinline",O(E,{contains:[G(),P()]})),S("url",{contains:[G("link"),G("link")]}),S("hyperref",{contains:[G("link")]}),S("href",O(v,{contains:[G("link")]})),...[].concat(...["","\\*"].map(k=>[A("verbatim"+k,F("verbatim"+k)),A("filecontents"+k,O(E,F("filecontents"+k))),...["","B","L"].map(L=>A(L+"Verbatim"+k,O(v,F(L+"Verbatim"+k))))])),A("minted",O(v,O(E,F("minted"))))];return{name:"LaTeX",aliases:["tex"],contains:[...z,...p]}}var XW=jW;function ZW(e){return{name:"LDIF",contains:[{className:"attribute",match:"^dn(?=:)",relevance:10},{className:"attribute",match:"^\\w+(?=:)"},{className:"literal",match:"^-"},e.HASH_COMMENT_MODE]}}var JW=ZW;function eK(e){return{name:"Leaf",contains:[{className:"function",begin:"#+[A-Za-z_0-9]*\\(",end:/ \{/,returnBegin:!0,excludeEnd:!0,contains:[{className:"keyword",begin:"#+"},{className:"title",begin:"[A-Za-z_][A-Za-z_0-9]*"},{className:"params",begin:"\\(",end:"\\)",endsParent:!0,contains:[{className:"string",begin:'"',end:'"'},{className:"variable",begin:"[A-Za-z_][A-Za-z_0-9]*"}]}]}]}}var tK=eK;const nK=e=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:e.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:e.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z][A-Za-z0-9_-]*/}}),rK=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","p","q","quote","samp","section","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],iK=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"],uc=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"],dc=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"],aK=["align-content","align-items","align-self","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","empty-cells","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","isolation","justify-content","left","letter-spacing","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","resize","rest","rest-after","rest-before","right","row-gap","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","speak","speak-as","src","tab-size","table-layout","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-style","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","unicode-bidi","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","z-index"].reverse(),oK=uc.concat(dc);function sK(e){const t=nK(e),n=oK,r="and or not only",a="[\\w-]+",l="("+a+"|@\\{"+a+"\\})",u=[],c=[],d=function(O){return{className:"string",begin:"~?"+O+".*?"+O}},g=function(O,S,A){return{className:O,begin:S,relevance:A}},f={$pattern:/[a-z-]+/,keyword:r,attribute:iK.join(" ")},h={begin:"\\(",end:"\\)",contains:c,keywords:f,relevance:0};c.push(e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,d("'"),d('"'),t.CSS_NUMBER_MODE,{begin:"(url|data-uri)\\(",starts:{className:"string",end:"[\\)\\n]",excludeEnd:!0}},t.HEXCOLOR,h,g("variable","@@?"+a,10),g("variable","@\\{"+a+"\\}"),g("built_in","~?`[^`]*?`"),{className:"attribute",begin:a+"\\s*:",end:":",returnBegin:!0,excludeEnd:!0},t.IMPORTANT,{beginKeywords:"and not"},t.FUNCTION_DISPATCH);const p=c.concat({begin:/\{/,end:/\}/,contains:u}),m={beginKeywords:"when",endsWithParent:!0,contains:[{beginKeywords:"and not"}].concat(c)},y={begin:l+"\\s*:",returnBegin:!0,end:/[;}]/,relevance:0,contains:[{begin:/-(webkit|moz|ms|o)-/},t.CSS_VARIABLE,{className:"attribute",begin:"\\b("+aK.join("|")+")\\b",end:/(?=:)/,starts:{endsWithParent:!0,illegal:"[<=$]",relevance:0,contains:c}}]},b={className:"keyword",begin:"@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\b",starts:{end:"[;{}]",keywords:f,returnEnd:!0,contains:c,relevance:0}},C={className:"variable",variants:[{begin:"@"+a+"\\s*:",relevance:15},{begin:"@"+a}],starts:{end:"[;}]",returnEnd:!0,contains:p}},E={variants:[{begin:"[\\.#:&\\[>]",end:"[;{}]"},{begin:l,end:/\{/}],returnBegin:!0,returnEnd:!0,illegal:`[<='$"]`,relevance:0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,m,g("keyword","all\\b"),g("variable","@\\{"+a+"\\}"),{begin:"\\b("+rK.join("|")+")\\b",className:"selector-tag"},t.CSS_NUMBER_MODE,g("selector-tag",l,0),g("selector-id","#"+l),g("selector-class","\\."+l,0),g("selector-tag","&",0),t.ATTRIBUTE_SELECTOR_MODE,{className:"selector-pseudo",begin:":("+uc.join("|")+")"},{className:"selector-pseudo",begin:":(:)?("+dc.join("|")+")"},{begin:/\(/,end:/\)/,relevance:0,contains:p},{begin:"!important"},t.FUNCTION_DISPATCH]},v={begin:a+`:(:)?(${n.join("|")})`,returnBegin:!0,contains:[E]};return u.push(e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,b,C,v,y,E,m,t.FUNCTION_DISPATCH),{name:"Less",case_insensitive:!0,illegal:`[=>'/<($"]`,contains:u}}var lK=sK;function cK(e){const t="[a-zA-Z_\\-+\\*\\/<=>&#][a-zA-Z0-9_\\-+*\\/<=>&#!]*",n="\\|[^]*?\\|",r="(-|\\+)?\\d+(\\.\\d+|\\/\\d+)?((d|e|f|l|s|D|E|F|L|S)(\\+|-)?\\d+)?",a={className:"literal",begin:"\\b(t{1}|nil)\\b"},l={className:"number",variants:[{begin:r,relevance:0},{begin:"#(b|B)[0-1]+(/[0-1]+)?"},{begin:"#(o|O)[0-7]+(/[0-7]+)?"},{begin:"#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?"},{begin:"#(c|C)\\("+r+" +"+r,end:"\\)"}]},u=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),c=e.COMMENT(";","$",{relevance:0}),d={begin:"\\*",end:"\\*"},g={className:"symbol",begin:"[:&]"+t},f={begin:t,relevance:0},h={begin:n},m={contains:[l,u,d,g,{begin:"\\(",end:"\\)",contains:["self",a,u,l,f]},f],variants:[{begin:"['`]\\(",end:"\\)"},{begin:"\\(quote ",end:"\\)",keywords:{name:"quote"}},{begin:"'"+n}]},y={variants:[{begin:"'"+t},{begin:"#'"+t+"(::"+t+")*"}]},b={begin:"\\(\\s*",end:"\\)"},C={endsWithParent:!0,relevance:0};return b.contains=[{className:"name",variants:[{begin:t,relevance:0},{begin:n}]},C],C.contains=[m,y,b,a,l,u,c,d,g,h,f],{name:"Lisp",illegal:/\S/,contains:[l,e.SHEBANG(),a,u,c,m,y,b,f]}}var uK=cK;function dK(e){const t={className:"variable",variants:[{begin:"\\b([gtps][A-Z]{1}[a-zA-Z0-9]*)(\\[.+\\])?(?:\\s*?)"},{begin:"\\$_[A-Z]+"}],relevance:0},n=[e.C_BLOCK_COMMENT_MODE,e.HASH_COMMENT_MODE,e.COMMENT("--","$"),e.COMMENT("[^:]//","$")],r=e.inherit(e.TITLE_MODE,{variants:[{begin:"\\b_*rig[A-Z][A-Za-z0-9_\\-]*"},{begin:"\\b_[a-z0-9\\-]+"}]}),a=e.inherit(e.TITLE_MODE,{begin:"\\b([A-Za-z0-9_\\-]+)\\b"});return{name:"LiveCode",case_insensitive:!1,keywords:{keyword:"$_COOKIE $_FILES $_GET $_GET_BINARY $_GET_RAW $_POST $_POST_BINARY $_POST_RAW $_SESSION $_SERVER codepoint codepoints segment segments codeunit codeunits sentence sentences trueWord trueWords paragraph after byte bytes english the until http forever descending using line real8 with seventh for stdout finally element word words fourth before black ninth sixth characters chars stderr uInt1 uInt1s uInt2 uInt2s stdin string lines relative rel any fifth items from middle mid at else of catch then third it file milliseconds seconds second secs sec int1 int1s int4 int4s internet int2 int2s normal text item last long detailed effective uInt4 uInt4s repeat end repeat URL in try into switch to words https token binfile each tenth as ticks tick system real4 by dateItems without char character ascending eighth whole dateTime numeric short first ftp integer abbreviated abbr abbrev private case while if div mod wrap and or bitAnd bitNot bitOr bitXor among not in a an within contains ends with begins the keys of keys",literal:"SIX TEN FORMFEED NINE ZERO NONE SPACE FOUR FALSE COLON CRLF PI COMMA ENDOFFILE EOF EIGHT FIVE QUOTE EMPTY ONE TRUE RETURN CR LINEFEED RIGHT BACKSLASH NULL SEVEN TAB THREE TWO six ten formfeed nine zero none space four false colon crlf pi comma endoffile eof eight five quote empty one true return cr linefeed right backslash null seven tab three two RIVERSION RISTATE FILE_READ_MODE FILE_WRITE_MODE FILE_WRITE_MODE DIR_WRITE_MODE FILE_READ_UMASK FILE_WRITE_UMASK DIR_READ_UMASK DIR_WRITE_UMASK",built_in:"put abs acos aliasReference annuity arrayDecode arrayEncode asin atan atan2 average avg avgDev base64Decode base64Encode baseConvert binaryDecode binaryEncode byteOffset byteToNum cachedURL cachedURLs charToNum cipherNames codepointOffset codepointProperty codepointToNum codeunitOffset commandNames compound compress constantNames cos date dateFormat decompress difference directories diskSpace DNSServers exp exp1 exp2 exp10 extents files flushEvents folders format functionNames geometricMean global globals hasMemory harmonicMean hostAddress hostAddressToName hostName hostNameToAddress isNumber ISOToMac itemOffset keys len length libURLErrorData libUrlFormData libURLftpCommand libURLLastHTTPHeaders libURLLastRHHeaders libUrlMultipartFormAddPart libUrlMultipartFormData libURLVersion lineOffset ln ln1 localNames log log2 log10 longFilePath lower macToISO matchChunk matchText matrixMultiply max md5Digest median merge messageAuthenticationCode messageDigest millisec millisecs millisecond milliseconds min monthNames nativeCharToNum normalizeText num number numToByte numToChar numToCodepoint numToNativeChar offset open openfiles openProcesses openProcessIDs openSockets paragraphOffset paramCount param params peerAddress pendingMessages platform popStdDev populationStandardDeviation populationVariance popVariance processID random randomBytes replaceText result revCreateXMLTree revCreateXMLTreeFromFile revCurrentRecord revCurrentRecordIsFirst revCurrentRecordIsLast revDatabaseColumnCount revDatabaseColumnIsNull revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered revDatabaseColumnTypes revDatabaseConnectResult revDatabaseCursors revDatabaseID revDatabaseTableNames revDatabaseType revDataFromQuery revdb_closeCursor revdb_columnbynumber revdb_columncount revdb_columnisnull revdb_columnlengths revdb_columnnames revdb_columntypes revdb_commit revdb_connect revdb_connections revdb_connectionerr revdb_currentrecord revdb_cursorconnection revdb_cursorerr revdb_cursors revdb_dbtype revdb_disconnect revdb_execute revdb_iseof revdb_isbof revdb_movefirst revdb_movelast revdb_movenext revdb_moveprev revdb_query revdb_querylist revdb_recordcount revdb_rollback revdb_tablenames revGetDatabaseDriverPath revNumberOfRecords revOpenDatabase revOpenDatabases revQueryDatabase revQueryDatabaseBlob revQueryResult revQueryIsAtStart revQueryIsAtEnd revUnixFromMacPath revXMLAttribute revXMLAttributes revXMLAttributeValues revXMLChildContents revXMLChildNames revXMLCreateTreeFromFileWithNamespaces revXMLCreateTreeWithNamespaces revXMLDataFromXPathQuery revXMLEvaluateXPath revXMLFirstChild revXMLMatchingNode revXMLNextSibling revXMLNodeContents revXMLNumberOfChildren revXMLParent revXMLPreviousSibling revXMLRootNode revXMLRPC_CreateRequest revXMLRPC_Documents revXMLRPC_Error revXMLRPC_GetHost revXMLRPC_GetMethod revXMLRPC_GetParam revXMLText revXMLRPC_Execute revXMLRPC_GetParamCount revXMLRPC_GetParamNode revXMLRPC_GetParamType revXMLRPC_GetPath revXMLRPC_GetPort revXMLRPC_GetProtocol revXMLRPC_GetRequest revXMLRPC_GetResponse revXMLRPC_GetSocket revXMLTree revXMLTrees revXMLValidateDTD revZipDescribeItem revZipEnumerateItems revZipOpenArchives round sampVariance sec secs seconds sentenceOffset sha1Digest shell shortFilePath sin specialFolderPath sqrt standardDeviation statRound stdDev sum sysError systemVersion tan tempName textDecode textEncode tick ticks time to tokenOffset toLower toUpper transpose truewordOffset trunc uniDecode uniEncode upper URLDecode URLEncode URLStatus uuid value variableNames variance version waitDepth weekdayNames wordOffset xsltApplyStylesheet xsltApplyStylesheetFromFile xsltLoadStylesheet xsltLoadStylesheetFromFile add breakpoint cancel clear local variable file word line folder directory URL close socket process combine constant convert create new alias folder directory decrypt delete variable word line folder directory URL dispatch divide do encrypt filter get include intersect kill libURLDownloadToFile libURLFollowHttpRedirects libURLftpUpload libURLftpUploadFile libURLresetAll libUrlSetAuthCallback libURLSetDriver libURLSetCustomHTTPHeaders libUrlSetExpect100 libURLSetFTPListCommand libURLSetFTPMode libURLSetFTPStopTime libURLSetStatusCallback load extension loadedExtensions multiply socket prepare process post seek rel relative read from process rename replace require resetAll resolve revAddXMLNode revAppendXML revCloseCursor revCloseDatabase revCommitDatabase revCopyFile revCopyFolder revCopyXMLNode revDeleteFolder revDeleteXMLNode revDeleteAllXMLTrees revDeleteXMLTree revExecuteSQL revGoURL revInsertXMLNode revMoveFolder revMoveToFirstRecord revMoveToLastRecord revMoveToNextRecord revMoveToPreviousRecord revMoveToRecord revMoveXMLNode revPutIntoXMLNode revRollBackDatabase revSetDatabaseDriverPath revSetXMLAttribute revXMLRPC_AddParam revXMLRPC_DeleteAllDocuments revXMLAddDTD revXMLRPC_Free revXMLRPC_FreeAll revXMLRPC_DeleteDocument revXMLRPC_DeleteParam revXMLRPC_SetHost revXMLRPC_SetMethod revXMLRPC_SetPort revXMLRPC_SetProtocol revXMLRPC_SetSocket revZipAddItemWithData revZipAddItemWithFile revZipAddUncompressedItemWithData revZipAddUncompressedItemWithFile revZipCancel revZipCloseArchive revZipDeleteItem revZipExtractItemToFile revZipExtractItemToVariable revZipSetProgressCallback revZipRenameItem revZipReplaceItemWithData revZipReplaceItemWithFile revZipOpenArchive send set sort split start stop subtract symmetric union unload vectorDotProduct wait write"},contains:[t,{className:"keyword",begin:"\\bend\\sif\\b"},{className:"function",beginKeywords:"function",end:"$",contains:[t,a,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,r]},{className:"function",begin:"\\bend\\s+",end:"$",keywords:"end",contains:[a,r],relevance:0},{beginKeywords:"command on",end:"$",contains:[t,a,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,r]},{className:"meta",variants:[{begin:"<\\?(rev|lc|livecode)",relevance:10},{begin:"<\\?"},{begin:"\\?>"}]},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,r].concat(n),illegal:";$|^\\[|^=|&|\\{"}}var _K=dK;const pK=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],mK=["true","false","null","undefined","NaN","Infinity"],fK=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],gK=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],hK=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],EK=[].concat(hK,fK,gK);function SK(e){const t=["npm","print"],n=["yes","no","on","off","it","that","void"],r=["then","unless","until","loop","of","by","when","and","or","is","isnt","not","it","that","otherwise","from","to","til","fallthrough","case","enum","native","list","map","__hasProp","__extends","__slice","__bind","__indexOf"],a={keyword:pK.concat(r),literal:mK.concat(n),built_in:EK.concat(t)},l="[A-Za-z$_](?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*",u=e.inherit(e.TITLE_MODE,{begin:l}),c={className:"subst",begin:/#\{/,end:/\}/,keywords:a},d={className:"subst",begin:/#[A-Za-z$_]/,end:/(?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*/,keywords:a},g=[e.BINARY_NUMBER_MODE,{className:"number",begin:"(\\b0[xX][a-fA-F0-9_]+)|(\\b\\d(\\d|_\\d)*(\\.(\\d(\\d|_\\d)*)?)?(_*[eE]([-+]\\d(_\\d|\\d)*)?)?[_a-z]*)",relevance:0,starts:{end:"(\\s*/)?",relevance:0}},{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[e.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[e.BACKSLASH_ESCAPE,c,d]},{begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,c,d]},{begin:/\\/,end:/(\s|$)/,excludeEnd:!0}]},{className:"regexp",variants:[{begin:"//",end:"//[gim]*",contains:[c,e.HASH_COMMENT_MODE]},{begin:/\/(?![ *])(\\.|[^\\\n])*?\/[gim]*(?=\W)/}]},{begin:"@"+l},{begin:"``",end:"``",excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"}];c.contains=g;const f={className:"params",begin:"\\(",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:a,contains:["self"].concat(g)}]},h={begin:"(#=>|=>|\\|>>|-?->|!->)"},p={variants:[{match:[/class\s+/,l,/\s+extends\s+/,l]},{match:[/class\s+/,l]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:a};return{name:"LiveScript",aliases:["ls"],keywords:a,illegal:/\/\*/,contains:g.concat([e.COMMENT("\\/\\*","\\*\\/"),e.HASH_COMMENT_MODE,h,{className:"function",contains:[u,f],returnBegin:!0,variants:[{begin:"("+l+"\\s*(?:=|:=)\\s*)?(\\(.*\\)\\s*)?\\B->\\*?",end:"->\\*?"},{begin:"("+l+"\\s*(?:=|:=)\\s*)?!?(\\(.*\\)\\s*)?\\B[-~]{1,2}>\\*?",end:"[-~]{1,2}>\\*?"},{begin:"("+l+"\\s*(?:=|:=)\\s*)?(\\(.*\\)\\s*)?\\B!?[-~]{1,2}>\\*?",end:"!?[-~]{1,2}>\\*?"}]},p,{begin:l+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}var bK=SK;function vK(e){const t=e.regex,n=/([-a-zA-Z$._][\w$.-]*)/,r={className:"type",begin:/\bi\d+(?=\s|\b)/},a={className:"operator",relevance:0,begin:/=/},l={className:"punctuation",relevance:0,begin:/,/},u={className:"number",variants:[{begin:/[su]?0[xX][KMLHR]?[a-fA-F0-9]+/},{begin:/[-+]?\d+(?:[.]\d+)?(?:[eE][-+]?\d+(?:[.]\d+)?)?/}],relevance:0},c={className:"symbol",variants:[{begin:/^\s*[a-z]+:/}],relevance:0},d={className:"variable",variants:[{begin:t.concat(/%/,n)},{begin:/%\d+/},{begin:/#\d+/}]},g={className:"title",variants:[{begin:t.concat(/@/,n)},{begin:/@\d+/},{begin:t.concat(/!/,n)},{begin:t.concat(/!\d+/,n)},{begin:/!\d+/}]};return{name:"LLVM IR",keywords:"begin end true false declare define global constant private linker_private internal available_externally linkonce linkonce_odr weak weak_odr appending dllimport dllexport common default hidden protected extern_weak external thread_local zeroinitializer undef null to tail target triple datalayout volatile nuw nsw nnan ninf nsz arcp fast exact inbounds align addrspace section alias module asm sideeffect gc dbg linker_private_weak attributes blockaddress initialexec localdynamic localexec prefix unnamed_addr ccc fastcc coldcc x86_stdcallcc x86_fastcallcc arm_apcscc arm_aapcscc arm_aapcs_vfpcc ptx_device ptx_kernel intel_ocl_bicc msp430_intrcc spir_func spir_kernel x86_64_sysvcc x86_64_win64cc x86_thiscallcc cc c signext zeroext inreg sret nounwind noreturn noalias nocapture byval nest readnone readonly inlinehint noinline alwaysinline optsize ssp sspreq noredzone noimplicitfloat naked builtin cold nobuiltin noduplicate nonlazybind optnone returns_twice sanitize_address sanitize_memory sanitize_thread sspstrong uwtable returned type opaque eq ne slt sgt sle sge ult ugt ule uge oeq one olt ogt ole oge ord uno ueq une x acq_rel acquire alignstack atomic catch cleanup filter inteldialect max min monotonic nand personality release seq_cst singlethread umax umin unordered xchg add fadd sub fsub mul fmul udiv sdiv fdiv urem srem frem shl lshr ashr and or xor icmp fcmp phi call trunc zext sext fptrunc fpext uitofp sitofp fptoui fptosi inttoptr ptrtoint bitcast addrspacecast select va_arg ret br switch invoke unwind unreachable indirectbr landingpad resume malloc alloca free load store getelementptr extractelement insertelement shufflevector getresult extractvalue insertvalue atomicrmw cmpxchg fence argmemonly double",contains:[r,e.COMMENT(/;\s*$/,null,{relevance:0}),e.COMMENT(/;/,/$/),{className:"string",begin:/"/,end:/"/,contains:[{className:"char.escape",match:/\\\d\d/}]},g,l,a,d,c,u]}}var TK=vK;function yK(e){const n={className:"string",begin:'"',end:'"',contains:[{className:"subst",begin:/\\[tn"\\]/}]},r={className:"number",relevance:0,begin:e.C_NUMBER_RE},a={className:"literal",variants:[{begin:"\\b(PI|TWO_PI|PI_BY_TWO|DEG_TO_RAD|RAD_TO_DEG|SQRT2)\\b"},{begin:"\\b(XP_ERROR_(EXPERIENCES_DISABLED|EXPERIENCE_(DISABLED|SUSPENDED)|INVALID_(EXPERIENCE|PARAMETERS)|KEY_NOT_FOUND|MATURITY_EXCEEDED|NONE|NOT_(FOUND|PERMITTED(_LAND)?)|NO_EXPERIENCE|QUOTA_EXCEEDED|RETRY_UPDATE|STORAGE_EXCEPTION|STORE_DISABLED|THROTTLED|UNKNOWN_ERROR)|JSON_APPEND|STATUS_(PHYSICS|ROTATE_[XYZ]|PHANTOM|SANDBOX|BLOCK_GRAB(_OBJECT)?|(DIE|RETURN)_AT_EDGE|CAST_SHADOWS|OK|MALFORMED_PARAMS|TYPE_MISMATCH|BOUNDS_ERROR|NOT_(FOUND|SUPPORTED)|INTERNAL_ERROR|WHITELIST_FAILED)|AGENT(_(BY_(LEGACY_|USER)NAME|FLYING|ATTACHMENTS|SCRIPTED|MOUSELOOK|SITTING|ON_OBJECT|AWAY|WALKING|IN_AIR|TYPING|CROUCHING|BUSY|ALWAYS_RUN|AUTOPILOT|LIST_(PARCEL(_OWNER)?|REGION)))?|CAMERA_(PITCH|DISTANCE|BEHINDNESS_(ANGLE|LAG)|(FOCUS|POSITION)(_(THRESHOLD|LOCKED|LAG))?|FOCUS_OFFSET|ACTIVE)|ANIM_ON|LOOP|REVERSE|PING_PONG|SMOOTH|ROTATE|SCALE|ALL_SIDES|LINK_(ROOT|SET|ALL_(OTHERS|CHILDREN)|THIS)|ACTIVE|PASS(IVE|_(ALWAYS|IF_NOT_HANDLED|NEVER))|SCRIPTED|CONTROL_(FWD|BACK|(ROT_)?(LEFT|RIGHT)|UP|DOWN|(ML_)?LBUTTON)|PERMISSION_(RETURN_OBJECTS|DEBIT|OVERRIDE_ANIMATIONS|SILENT_ESTATE_MANAGEMENT|TAKE_CONTROLS|TRIGGER_ANIMATION|ATTACH|CHANGE_LINKS|(CONTROL|TRACK)_CAMERA|TELEPORT)|INVENTORY_(TEXTURE|SOUND|OBJECT|SCRIPT|LANDMARK|CLOTHING|NOTECARD|BODYPART|ANIMATION|GESTURE|ALL|NONE)|CHANGED_(INVENTORY|COLOR|SHAPE|SCALE|TEXTURE|LINK|ALLOWED_DROP|OWNER|REGION(_START)?|TELEPORT|MEDIA)|OBJECT_(CLICK_ACTION|HOVER_HEIGHT|LAST_OWNER_ID|(PHYSICS|SERVER|STREAMING)_COST|UNKNOWN_DETAIL|CHARACTER_TIME|PHANTOM|PHYSICS|TEMP_(ATTACHED|ON_REZ)|NAME|DESC|POS|PRIM_(COUNT|EQUIVALENCE)|RETURN_(PARCEL(_OWNER)?|REGION)|REZZER_KEY|ROO?T|VELOCITY|OMEGA|OWNER|GROUP(_TAG)?|CREATOR|ATTACHED_(POINT|SLOTS_AVAILABLE)|RENDER_WEIGHT|(BODY_SHAPE|PATHFINDING)_TYPE|(RUNNING|TOTAL)_SCRIPT_COUNT|TOTAL_INVENTORY_COUNT|SCRIPT_(MEMORY|TIME))|TYPE_(INTEGER|FLOAT|STRING|KEY|VECTOR|ROTATION|INVALID)|(DEBUG|PUBLIC)_CHANNEL|ATTACH_(AVATAR_CENTER|CHEST|HEAD|BACK|PELVIS|MOUTH|CHIN|NECK|NOSE|BELLY|[LR](SHOULDER|HAND|FOOT|EAR|EYE|[UL](ARM|LEG)|HIP)|(LEFT|RIGHT)_PEC|HUD_(CENTER_[12]|TOP_(RIGHT|CENTER|LEFT)|BOTTOM(_(RIGHT|LEFT))?)|[LR]HAND_RING1|TAIL_(BASE|TIP)|[LR]WING|FACE_(JAW|[LR]EAR|[LR]EYE|TOUNGE)|GROIN|HIND_[LR]FOOT)|LAND_(LEVEL|RAISE|LOWER|SMOOTH|NOISE|REVERT)|DATA_(ONLINE|NAME|BORN|SIM_(POS|STATUS|RATING)|PAYINFO)|PAYMENT_INFO_(ON_FILE|USED)|REMOTE_DATA_(CHANNEL|REQUEST|REPLY)|PSYS_(PART_(BF_(ZERO|ONE(_MINUS_(DEST_COLOR|SOURCE_(ALPHA|COLOR)))?|DEST_COLOR|SOURCE_(ALPHA|COLOR))|BLEND_FUNC_(DEST|SOURCE)|FLAGS|(START|END)_(COLOR|ALPHA|SCALE|GLOW)|MAX_AGE|(RIBBON|WIND|INTERP_(COLOR|SCALE)|BOUNCE|FOLLOW_(SRC|VELOCITY)|TARGET_(POS|LINEAR)|EMISSIVE)_MASK)|SRC_(MAX_AGE|PATTERN|ANGLE_(BEGIN|END)|BURST_(RATE|PART_COUNT|RADIUS|SPEED_(MIN|MAX))|ACCEL|TEXTURE|TARGET_KEY|OMEGA|PATTERN_(DROP|EXPLODE|ANGLE(_CONE(_EMPTY)?)?)))|VEHICLE_(REFERENCE_FRAME|TYPE_(NONE|SLED|CAR|BOAT|AIRPLANE|BALLOON)|(LINEAR|ANGULAR)_(FRICTION_TIMESCALE|MOTOR_DIRECTION)|LINEAR_MOTOR_OFFSET|HOVER_(HEIGHT|EFFICIENCY|TIMESCALE)|BUOYANCY|(LINEAR|ANGULAR)_(DEFLECTION_(EFFICIENCY|TIMESCALE)|MOTOR_(DECAY_)?TIMESCALE)|VERTICAL_ATTRACTION_(EFFICIENCY|TIMESCALE)|BANKING_(EFFICIENCY|MIX|TIMESCALE)|FLAG_(NO_DEFLECTION_UP|LIMIT_(ROLL_ONLY|MOTOR_UP)|HOVER_((WATER|TERRAIN|UP)_ONLY|GLOBAL_HEIGHT)|MOUSELOOK_(STEER|BANK)|CAMERA_DECOUPLED))|PRIM_(ALLOW_UNSIT|ALPHA_MODE(_(BLEND|EMISSIVE|MASK|NONE))?|NORMAL|SPECULAR|TYPE(_(BOX|CYLINDER|PRISM|SPHERE|TORUS|TUBE|RING|SCULPT))?|HOLE_(DEFAULT|CIRCLE|SQUARE|TRIANGLE)|MATERIAL(_(STONE|METAL|GLASS|WOOD|FLESH|PLASTIC|RUBBER))?|SHINY_(NONE|LOW|MEDIUM|HIGH)|BUMP_(NONE|BRIGHT|DARK|WOOD|BARK|BRICKS|CHECKER|CONCRETE|TILE|STONE|DISKS|GRAVEL|BLOBS|SIDING|LARGETILE|STUCCO|SUCTION|WEAVE)|TEXGEN_(DEFAULT|PLANAR)|SCRIPTED_SIT_ONLY|SCULPT_(TYPE_(SPHERE|TORUS|PLANE|CYLINDER|MASK)|FLAG_(MIRROR|INVERT))|PHYSICS(_(SHAPE_(CONVEX|NONE|PRIM|TYPE)))?|(POS|ROT)_LOCAL|SLICE|TEXT|FLEXIBLE|POINT_LIGHT|TEMP_ON_REZ|PHANTOM|POSITION|SIT_TARGET|SIZE|ROTATION|TEXTURE|NAME|OMEGA|DESC|LINK_TARGET|COLOR|BUMP_SHINY|FULLBRIGHT|TEXGEN|GLOW|MEDIA_(ALT_IMAGE_ENABLE|CONTROLS|(CURRENT|HOME)_URL|AUTO_(LOOP|PLAY|SCALE|ZOOM)|FIRST_CLICK_INTERACT|(WIDTH|HEIGHT)_PIXELS|WHITELIST(_ENABLE)?|PERMS_(INTERACT|CONTROL)|PARAM_MAX|CONTROLS_(STANDARD|MINI)|PERM_(NONE|OWNER|GROUP|ANYONE)|MAX_(URL_LENGTH|WHITELIST_(SIZE|COUNT)|(WIDTH|HEIGHT)_PIXELS)))|MASK_(BASE|OWNER|GROUP|EVERYONE|NEXT)|PERM_(TRANSFER|MODIFY|COPY|MOVE|ALL)|PARCEL_(MEDIA_COMMAND_(STOP|PAUSE|PLAY|LOOP|TEXTURE|URL|TIME|AGENT|UNLOAD|AUTO_ALIGN|TYPE|SIZE|DESC|LOOP_SET)|FLAG_(ALLOW_(FLY|(GROUP_)?SCRIPTS|LANDMARK|TERRAFORM|DAMAGE|CREATE_(GROUP_)?OBJECTS)|USE_(ACCESS_(GROUP|LIST)|BAN_LIST|LAND_PASS_LIST)|LOCAL_SOUND_ONLY|RESTRICT_PUSHOBJECT|ALLOW_(GROUP|ALL)_OBJECT_ENTRY)|COUNT_(TOTAL|OWNER|GROUP|OTHER|SELECTED|TEMP)|DETAILS_(NAME|DESC|OWNER|GROUP|AREA|ID|SEE_AVATARS))|LIST_STAT_(MAX|MIN|MEAN|MEDIAN|STD_DEV|SUM(_SQUARES)?|NUM_COUNT|GEOMETRIC_MEAN|RANGE)|PAY_(HIDE|DEFAULT)|REGION_FLAG_(ALLOW_DAMAGE|FIXED_SUN|BLOCK_TERRAFORM|SANDBOX|DISABLE_(COLLISIONS|PHYSICS)|BLOCK_FLY|ALLOW_DIRECT_TELEPORT|RESTRICT_PUSHOBJECT)|HTTP_(METHOD|MIMETYPE|BODY_(MAXLENGTH|TRUNCATED)|CUSTOM_HEADER|PRAGMA_NO_CACHE|VERBOSE_THROTTLE|VERIFY_CERT)|SIT_(INVALID_(AGENT|LINK_OBJECT)|NO(T_EXPERIENCE|_(ACCESS|EXPERIENCE_PERMISSION|SIT_TARGET)))|STRING_(TRIM(_(HEAD|TAIL))?)|CLICK_ACTION_(NONE|TOUCH|SIT|BUY|PAY|OPEN(_MEDIA)?|PLAY|ZOOM)|TOUCH_INVALID_FACE|PROFILE_(NONE|SCRIPT_MEMORY)|RC_(DATA_FLAGS|DETECT_PHANTOM|GET_(LINK_NUM|NORMAL|ROOT_KEY)|MAX_HITS|REJECT_(TYPES|AGENTS|(NON)?PHYSICAL|LAND))|RCERR_(CAST_TIME_EXCEEDED|SIM_PERF_LOW|UNKNOWN)|ESTATE_ACCESS_(ALLOWED_(AGENT|GROUP)_(ADD|REMOVE)|BANNED_AGENT_(ADD|REMOVE))|DENSITY|FRICTION|RESTITUTION|GRAVITY_MULTIPLIER|KFM_(COMMAND|CMD_(PLAY|STOP|PAUSE)|MODE|FORWARD|LOOP|PING_PONG|REVERSE|DATA|ROTATION|TRANSLATION)|ERR_(GENERIC|PARCEL_PERMISSIONS|MALFORMED_PARAMS|RUNTIME_PERMISSIONS|THROTTLED)|CHARACTER_(CMD_((SMOOTH_)?STOP|JUMP)|DESIRED_(TURN_)?SPEED|RADIUS|STAY_WITHIN_PARCEL|LENGTH|ORIENTATION|ACCOUNT_FOR_SKIPPED_FRAMES|AVOIDANCE_MODE|TYPE(_([ABCD]|NONE))?|MAX_(DECEL|TURN_RADIUS|(ACCEL|SPEED)))|PURSUIT_(OFFSET|FUZZ_FACTOR|GOAL_TOLERANCE|INTERCEPT)|REQUIRE_LINE_OF_SIGHT|FORCE_DIRECT_PATH|VERTICAL|HORIZONTAL|AVOID_(CHARACTERS|DYNAMIC_OBSTACLES|NONE)|PU_(EVADE_(HIDDEN|SPOTTED)|FAILURE_(DYNAMIC_PATHFINDING_DISABLED|INVALID_(GOAL|START)|NO_(NAVMESH|VALID_DESTINATION)|OTHER|TARGET_GONE|(PARCEL_)?UNREACHABLE)|(GOAL|SLOWDOWN_DISTANCE)_REACHED)|TRAVERSAL_TYPE(_(FAST|NONE|SLOW))?|CONTENT_TYPE_(ATOM|FORM|HTML|JSON|LLSD|RSS|TEXT|XHTML|XML)|GCNP_(RADIUS|STATIC)|(PATROL|WANDER)_PAUSE_AT_WAYPOINTS|OPT_(AVATAR|CHARACTER|EXCLUSION_VOLUME|LEGACY_LINKSET|MATERIAL_VOLUME|OTHER|STATIC_OBSTACLE|WALKABLE)|SIM_STAT_PCT_CHARS_STEPPED)\\b"},{begin:"\\b(FALSE|TRUE)\\b"},{begin:"\\b(ZERO_ROTATION)\\b"},{begin:"\\b(EOF|JSON_(ARRAY|DELETE|FALSE|INVALID|NULL|NUMBER|OBJECT|STRING|TRUE)|NULL_KEY|TEXTURE_(BLANK|DEFAULT|MEDIA|PLYWOOD|TRANSPARENT)|URL_REQUEST_(GRANTED|DENIED))\\b"},{begin:"\\b(ZERO_VECTOR|TOUCH_INVALID_(TEXCOORD|VECTOR))\\b"}]},l={className:"built_in",begin:"\\b(ll(AgentInExperience|(Create|DataSize|Delete|KeyCount|Keys|Read|Update)KeyValue|GetExperience(Details|ErrorMessage)|ReturnObjectsBy(ID|Owner)|Json(2List|[GS]etValue|ValueType)|Sin|Cos|Tan|Atan2|Sqrt|Pow|Abs|Fabs|Frand|Floor|Ceil|Round|Vec(Mag|Norm|Dist)|Rot(Between|2(Euler|Fwd|Left|Up))|(Euler|Axes)2Rot|Whisper|(Region|Owner)?Say|Shout|Listen(Control|Remove)?|Sensor(Repeat|Remove)?|Detected(Name|Key|Owner|Type|Pos|Vel|Grab|Rot|Group|LinkNumber)|Die|Ground|Wind|([GS]et)(AnimationOverride|MemoryLimit|PrimMediaParams|ParcelMusicURL|Object(Desc|Name)|PhysicsMaterial|Status|Scale|Color|Alpha|Texture|Pos|Rot|Force|Torque)|ResetAnimationOverride|(Scale|Offset|Rotate)Texture|(Rot)?Target(Remove)?|(Stop)?MoveToTarget|Apply(Rotational)?Impulse|Set(KeyframedMotion|ContentType|RegionPos|(Angular)?Velocity|Buoyancy|HoverHeight|ForceAndTorque|TimerEvent|ScriptState|Damage|TextureAnim|Sound(Queueing|Radius)|Vehicle(Type|(Float|Vector|Rotation)Param)|(Touch|Sit)?Text|Camera(Eye|At)Offset|PrimitiveParams|ClickAction|Link(Alpha|Color|PrimitiveParams(Fast)?|Texture(Anim)?|Camera|Media)|RemoteScriptAccessPin|PayPrice|LocalRot)|ScaleByFactor|Get((Max|Min)ScaleFactor|ClosestNavPoint|StaticPath|SimStats|Env|PrimitiveParams|Link(PrimitiveParams|Number(OfSides)?|Key|Name|Media)|HTTPHeader|FreeURLs|Object(Details|PermMask|PrimCount)|Parcel(MaxPrims|Details|Prim(Count|Owners))|Attached(List)?|(SPMax|Free|Used)Memory|Region(Name|TimeDilation|FPS|Corner|AgentCount)|Root(Position|Rotation)|UnixTime|(Parcel|Region)Flags|(Wall|GMT)clock|SimulatorHostname|BoundingBox|GeometricCenter|Creator|NumberOf(Prims|NotecardLines|Sides)|Animation(List)?|(Camera|Local)(Pos|Rot)|Vel|Accel|Omega|Time(stamp|OfDay)|(Object|CenterOf)?Mass|MassMKS|Energy|Owner|(Owner)?Key|SunDirection|Texture(Offset|Scale|Rot)|Inventory(Number|Name|Key|Type|Creator|PermMask)|Permissions(Key)?|StartParameter|List(Length|EntryType)|Date|Agent(Size|Info|Language|List)|LandOwnerAt|NotecardLine|Script(Name|State))|(Get|Reset|GetAndReset)Time|PlaySound(Slave)?|LoopSound(Master|Slave)?|(Trigger|Stop|Preload)Sound|((Get|Delete)Sub|Insert)String|To(Upper|Lower)|Give(InventoryList|Money)|RezObject|(Stop)?LookAt|Sleep|CollisionFilter|(Take|Release)Controls|DetachFromAvatar|AttachToAvatar(Temp)?|InstantMessage|(GetNext)?Email|StopHover|MinEventDelay|RotLookAt|String(Length|Trim)|(Start|Stop)Animation|TargetOmega|Request(Experience)?Permissions|(Create|Break)Link|BreakAllLinks|(Give|Remove)Inventory|Water|PassTouches|Request(Agent|Inventory)Data|TeleportAgent(Home|GlobalCoords)?|ModifyLand|CollisionSound|ResetScript|MessageLinked|PushObject|PassCollisions|AxisAngle2Rot|Rot2(Axis|Angle)|A(cos|sin)|AngleBetween|AllowInventoryDrop|SubStringIndex|List2(CSV|Integer|Json|Float|String|Key|Vector|Rot|List(Strided)?)|DeleteSubList|List(Statistics|Sort|Randomize|(Insert|Find|Replace)List)|EdgeOfWorld|AdjustSoundVolume|Key2Name|TriggerSoundLimited|EjectFromLand|(CSV|ParseString)2List|OverMyLand|SameGroup|UnSit|Ground(Slope|Normal|Contour)|GroundRepel|(Set|Remove)VehicleFlags|SitOnLink|(AvatarOn)?(Link)?SitTarget|Script(Danger|Profiler)|Dialog|VolumeDetect|ResetOtherScript|RemoteLoadScriptPin|(Open|Close)RemoteDataChannel|SendRemoteData|RemoteDataReply|(Integer|String)ToBase64|XorBase64|Log(10)?|Base64To(String|Integer)|ParseStringKeepNulls|RezAtRoot|RequestSimulatorData|ForceMouselook|(Load|Release|(E|Une)scape)URL|ParcelMedia(CommandList|Query)|ModPow|MapDestination|(RemoveFrom|AddTo|Reset)Land(Pass|Ban)List|(Set|Clear)CameraParams|HTTP(Request|Response)|TextBox|DetectedTouch(UV|Face|Pos|(N|Bin)ormal|ST)|(MD5|SHA1|DumpList2)String|Request(Secure)?URL|Clear(Prim|Link)Media|(Link)?ParticleSystem|(Get|Request)(Username|DisplayName)|RegionSayTo|CastRay|GenerateKey|TransferLindenDollars|ManageEstateAccess|(Create|Delete)Character|ExecCharacterCmd|Evade|FleeFrom|NavigateTo|PatrolPoints|Pursue|UpdateCharacter|WanderWithin))\\b"};return{name:"LSL (Linden Scripting Language)",illegal:":",contains:[n,{className:"comment",variants:[e.COMMENT("//","$"),e.COMMENT("/\\*","\\*/")],relevance:0},r,{className:"section",variants:[{begin:"\\b(state|default)\\b"},{begin:"\\b(state_(entry|exit)|touch(_(start|end))?|(land_)?collision(_(start|end))?|timer|listen|(no_)?sensor|control|(not_)?at_(rot_)?target|money|email|experience_permissions(_denied)?|run_time_permissions|changed|attach|dataserver|moving_(start|end)|link_message|(on|object)_rez|remote_data|http_re(sponse|quest)|path_update|transaction_result)\\b"}]},l,a,{className:"type",begin:"\\b(integer|float|string|key|vector|quaternion|rotation|list)\\b"}]}}var CK=yK;function RK(e){const t="\\[=*\\[",n="\\]=*\\]",r={begin:t,end:n,contains:["self"]},a=[e.COMMENT("--(?!"+t+")","$"),e.COMMENT("--"+t,n,{contains:[r],relevance:10})];return{name:"Lua",keywords:{$pattern:e.UNDERSCORE_IDENT_RE,literal:"true false nil",keyword:"and break do else elseif end for goto if in local not or repeat return then until while",built_in:"_G _ENV _VERSION __index __newindex __mode __call __metatable __tostring __len __gc __add __sub __mul __div __mod __pow __concat __unm __eq __lt __le assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall arg self coroutine resume yield status wrap create running debug getupvalue debug sethook getmetatable gethook setmetatable setlocal traceback setfenv getinfo setupvalue getlocal getregistry getfenv io lines write close flush open output type read stderr stdin input stdout popen tmpfile math log max acos huge ldexp pi cos tanh pow deg tan cosh sinh random randomseed frexp ceil floor rad abs sqrt modf asin min mod fmod log10 atan2 exp sin atan os exit setlocale date getenv difftime remove time clock tmpname rename execute package preload loadlib loaded loaders cpath config path seeall string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower table setn insert getn foreachi maxn foreach concat sort remove"},contains:a.concat([{className:"function",beginKeywords:"function",end:"\\)",contains:[e.inherit(e.TITLE_MODE,{begin:"([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*"}),{className:"params",begin:"\\(",endsWithParent:!0,contains:a}].concat(a)},e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:t,end:n,contains:[r],relevance:5}])}}var OK=RK;function NK(e){const t={className:"variable",variants:[{begin:"\\$\\("+e.UNDERSCORE_IDENT_RE+"\\)",contains:[e.BACKSLASH_ESCAPE]},{begin:/\$[@%{m.has(A[0])||P.ignoreMatch()}},{className:"symbol",relevance:0,begin:p}]},b={className:"named-character",begin:/\\\[[$a-zA-Z][$a-zA-Z0-9]+\]/},C={className:"operator",relevance:0,begin:/[+\-*/,;.:@~=><&|_`'^?!%]+/},E={className:"pattern",relevance:0,begin:/([a-zA-Z$][a-zA-Z0-9$]*)?_+([a-zA-Z$][a-zA-Z0-9$]*)?/},v={className:"slot",relevance:0,begin:/#[a-zA-Z$][a-zA-Z0-9$]*|#+[0-9]?/},O={className:"brace",relevance:0,begin:/[[\](){}]/},S={className:"message-name",relevance:0,begin:t.concat("::",p)};return{name:"Mathematica",aliases:["mma","wl"],classNameAliases:{brace:"punctuation",pattern:"type",slot:"type",symbol:"variable","named-character":"variable","builtin-symbol":"built_in","message-name":"string"},contains:[e.COMMENT(/\(\*/,/\*\)/,{contains:["self"]}),E,v,S,y,b,e.QUOTE_STRING_MODE,h,C,O]}}var xK=DK;function wK(e){const t="('|\\.')+",n={relevance:0,contains:[{begin:t}]};return{name:"Matlab",keywords:{keyword:"arguments break case catch classdef continue else elseif end enumeration events for function global if methods otherwise parfor persistent properties return spmd switch try while",built_in:"sin sind sinh asin asind asinh cos cosd cosh acos acosd acosh tan tand tanh atan atand atan2 atanh sec secd sech asec asecd asech csc cscd csch acsc acscd acsch cot cotd coth acot acotd acoth hypot exp expm1 log log1p log10 log2 pow2 realpow reallog realsqrt sqrt nthroot nextpow2 abs angle complex conj imag real unwrap isreal cplxpair fix floor ceil round mod rem sign airy besselj bessely besselh besseli besselk beta betainc betaln ellipj ellipke erf erfc erfcx erfinv expint gamma gammainc gammaln psi legendre cross dot factor isprime primes gcd lcm rat rats perms nchoosek factorial cart2sph cart2pol pol2cart sph2cart hsv2rgb rgb2hsv zeros ones eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ndims numel disp isempty isequal isequalwithequalnans cat reshape diag blkdiag tril triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute shiftdim circshift squeeze isscalar isvector ans eps realmax realmin pi i|0 inf nan isnan isinf isfinite j|0 why compan gallery hadamard hankel hilb invhilb magic pascal rosser toeplitz vander wilkinson max min nanmax nanmin mean nanmean type table readtable writetable sortrows sort figure plot plot3 scatter scatter3 cellfun legend intersect ismember procrustes hold num2cell "},illegal:'(//|"|#|/\\*|\\s+/\\w+)',contains:[{className:"function",beginKeywords:"function",end:"$",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",variants:[{begin:"\\(",end:"\\)"},{begin:"\\[",end:"\\]"}]}]},{className:"built_in",begin:/true|false/,relevance:0,starts:n},{begin:"[a-zA-Z][a-zA-Z_0-9]*"+t,relevance:0},{className:"number",begin:e.C_NUMBER_RE,relevance:0,starts:n},{className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},{begin:/\]|\}|\)/,relevance:0,starts:n},{className:"string",begin:'"',end:'"',contains:[{begin:'""'}],starts:n},e.COMMENT("^\\s*%\\{\\s*$","^\\s*%\\}\\s*$"),e.COMMENT("%","$")]}}var MK=wK;function LK(e){return{name:"Maxima",keywords:{$pattern:"[A-Za-z_%][0-9A-Za-z_%]*",keyword:"if then else elseif for thru do while unless step in and or not",literal:"true false unknown inf minf ind und %e %i %pi %phi %gamma",built_in:" abasep abs absint absolute_real_time acos acosh acot acoth acsc acsch activate addcol add_edge add_edges addmatrices addrow add_vertex add_vertices adjacency_matrix adjoin adjoint af agd airy airy_ai airy_bi airy_dai airy_dbi algsys alg_type alias allroots alphacharp alphanumericp amortization %and annuity_fv annuity_pv antid antidiff AntiDifference append appendfile apply apply1 apply2 applyb1 apropos args arit_amortization arithmetic arithsum array arrayapply arrayinfo arraymake arraysetapply ascii asec asech asin asinh askinteger asksign assoc assoc_legendre_p assoc_legendre_q assume assume_external_byte_order asympa at atan atan2 atanh atensimp atom atvalue augcoefmatrix augmented_lagrangian_method av average_degree backtrace bars barsplot barsplot_description base64 base64_decode bashindices batch batchload bc2 bdvac belln benefit_cost bern bernpoly bernstein_approx bernstein_expand bernstein_poly bessel bessel_i bessel_j bessel_k bessel_simplify bessel_y beta beta_incomplete beta_incomplete_generalized beta_incomplete_regularized bezout bfallroots bffac bf_find_root bf_fmin_cobyla bfhzeta bfloat bfloatp bfpsi bfpsi0 bfzeta biconnected_components bimetric binomial bipartition block blockmatrixp bode_gain bode_phase bothcoef box boxplot boxplot_description break bug_report build_info|10 buildq build_sample burn cabs canform canten cardinality carg cartan cartesian_product catch cauchy_matrix cbffac cdf_bernoulli cdf_beta cdf_binomial cdf_cauchy cdf_chi2 cdf_continuous_uniform cdf_discrete_uniform cdf_exp cdf_f cdf_gamma cdf_general_finite_discrete cdf_geometric cdf_gumbel cdf_hypergeometric cdf_laplace cdf_logistic cdf_lognormal cdf_negative_binomial cdf_noncentral_chi2 cdf_noncentral_student_t cdf_normal cdf_pareto cdf_poisson cdf_rank_sum cdf_rayleigh cdf_signed_rank cdf_student_t cdf_weibull cdisplay ceiling central_moment cequal cequalignore cf cfdisrep cfexpand cgeodesic cgreaterp cgreaterpignore changename changevar chaosgame charat charfun charfun2 charlist charp charpoly chdir chebyshev_t chebyshev_u checkdiv check_overlaps chinese cholesky christof chromatic_index chromatic_number cint circulant_graph clear_edge_weight clear_rules clear_vertex_label clebsch_gordan clebsch_graph clessp clesspignore close closefile cmetric coeff coefmatrix cograd col collapse collectterms columnop columnspace columnswap columnvector combination combine comp2pui compare compfile compile compile_file complement_graph complete_bipartite_graph complete_graph complex_number_p components compose_functions concan concat conjugate conmetderiv connected_components connect_vertices cons constant constantp constituent constvalue cont2part content continuous_freq contortion contour_plot contract contract_edge contragrad contrib_ode convert coord copy copy_file copy_graph copylist copymatrix cor cos cosh cot coth cov cov1 covdiff covect covers crc24sum create_graph create_list csc csch csetup cspline ctaylor ct_coordsys ctransform ctranspose cube_graph cuboctahedron_graph cunlisp cv cycle_digraph cycle_graph cylindrical days360 dblint deactivate declare declare_constvalue declare_dimensions declare_fundamental_dimensions declare_fundamental_units declare_qty declare_translated declare_unit_conversion declare_units declare_weights decsym defcon define define_alt_display define_variable defint defmatch defrule defstruct deftaylor degree_sequence del delete deleten delta demo demoivre denom depends derivdegree derivlist describe desolve determinant dfloat dgauss_a dgauss_b dgeev dgemm dgeqrf dgesv dgesvd diag diagmatrix diag_matrix diagmatrixp diameter diff digitcharp dimacs_export dimacs_import dimension dimensionless dimensions dimensions_as_list direct directory discrete_freq disjoin disjointp disolate disp dispcon dispform dispfun dispJordan display disprule dispterms distrib divide divisors divsum dkummer_m dkummer_u dlange dodecahedron_graph dotproduct dotsimp dpart draw draw2d draw3d drawdf draw_file draw_graph dscalar echelon edge_coloring edge_connectivity edges eigens_by_jacobi eigenvalues eigenvectors eighth einstein eivals eivects elapsed_real_time elapsed_run_time ele2comp ele2polynome ele2pui elem elementp elevation_grid elim elim_allbut eliminate eliminate_using ellipse elliptic_e elliptic_ec elliptic_eu elliptic_f elliptic_kc elliptic_pi ematrix empty_graph emptyp endcons entermatrix entertensor entier equal equalp equiv_classes erf erfc erf_generalized erfi errcatch error errormsg errors euler ev eval_string evenp every evolution evolution2d evundiff example exp expand expandwrt expandwrt_factored expint expintegral_chi expintegral_ci expintegral_e expintegral_e1 expintegral_ei expintegral_e_simplify expintegral_li expintegral_shi expintegral_si explicit explose exponentialize express expt exsec extdiff extract_linear_equations extremal_subset ezgcd %f f90 facsum factcomb factor factorfacsum factorial factorout factorsum facts fast_central_elements fast_linsolve fasttimes featurep fernfale fft fib fibtophi fifth filename_merge file_search file_type fillarray findde find_root find_root_abs find_root_error find_root_rel first fix flatten flength float floatnump floor flower_snark flush flush1deriv flushd flushnd flush_output fmin_cobyla forget fortran fourcos fourexpand fourier fourier_elim fourint fourintcos fourintsin foursimp foursin fourth fposition frame_bracket freeof freshline fresnel_c fresnel_s from_adjacency_matrix frucht_graph full_listify fullmap fullmapl fullratsimp fullratsubst fullsetify funcsolve fundamental_dimensions fundamental_units fundef funmake funp fv g0 g1 gamma gamma_greek gamma_incomplete gamma_incomplete_generalized gamma_incomplete_regularized gauss gauss_a gauss_b gaussprob gcd gcdex gcdivide gcfac gcfactor gd generalized_lambert_w genfact gen_laguerre genmatrix gensym geo_amortization geo_annuity_fv geo_annuity_pv geomap geometric geometric_mean geosum get getcurrentdirectory get_edge_weight getenv get_lu_factors get_output_stream_string get_pixel get_plot_option get_tex_environment get_tex_environment_default get_vertex_label gfactor gfactorsum ggf girth global_variances gn gnuplot_close gnuplot_replot gnuplot_reset gnuplot_restart gnuplot_start go Gosper GosperSum gr2d gr3d gradef gramschmidt graph6_decode graph6_encode graph6_export graph6_import graph_center graph_charpoly graph_eigenvalues graph_flow graph_order graph_periphery graph_product graph_size graph_union great_rhombicosidodecahedron_graph great_rhombicuboctahedron_graph grid_graph grind grobner_basis grotzch_graph hamilton_cycle hamilton_path hankel hankel_1 hankel_2 harmonic harmonic_mean hav heawood_graph hermite hessian hgfred hilbertmap hilbert_matrix hipow histogram histogram_description hodge horner hypergeometric i0 i1 %ibes ic1 ic2 ic_convert ichr1 ichr2 icosahedron_graph icosidodecahedron_graph icurvature ident identfor identity idiff idim idummy ieqn %if ifactors iframes ifs igcdex igeodesic_coords ilt image imagpart imetric implicit implicit_derivative implicit_plot indexed_tensor indices induced_subgraph inferencep inference_result infix info_display init_atensor init_ctensor in_neighbors innerproduct inpart inprod inrt integerp integer_partitions integrate intersect intersection intervalp intopois intosum invariant1 invariant2 inverse_fft inverse_jacobi_cd inverse_jacobi_cn inverse_jacobi_cs inverse_jacobi_dc inverse_jacobi_dn inverse_jacobi_ds inverse_jacobi_nc inverse_jacobi_nd inverse_jacobi_ns inverse_jacobi_sc inverse_jacobi_sd inverse_jacobi_sn invert invert_by_adjoint invert_by_lu inv_mod irr is is_biconnected is_bipartite is_connected is_digraph is_edge_in_graph is_graph is_graph_or_digraph ishow is_isomorphic isolate isomorphism is_planar isqrt isreal_p is_sconnected is_tree is_vertex_in_graph items_inference %j j0 j1 jacobi jacobian jacobi_cd jacobi_cn jacobi_cs jacobi_dc jacobi_dn jacobi_ds jacobi_nc jacobi_nd jacobi_ns jacobi_p jacobi_sc jacobi_sd jacobi_sn JF jn join jordan julia julia_set julia_sin %k kdels kdelta kill killcontext kostka kron_delta kronecker_product kummer_m kummer_u kurtosis kurtosis_bernoulli kurtosis_beta kurtosis_binomial kurtosis_chi2 kurtosis_continuous_uniform kurtosis_discrete_uniform kurtosis_exp kurtosis_f kurtosis_gamma kurtosis_general_finite_discrete kurtosis_geometric kurtosis_gumbel kurtosis_hypergeometric kurtosis_laplace kurtosis_logistic kurtosis_lognormal kurtosis_negative_binomial kurtosis_noncentral_chi2 kurtosis_noncentral_student_t kurtosis_normal kurtosis_pareto kurtosis_poisson kurtosis_rayleigh kurtosis_student_t kurtosis_weibull label labels lagrange laguerre lambda lambert_w laplace laplacian_matrix last lbfgs lc2kdt lcharp lc_l lcm lc_u ldefint ldisp ldisplay legendre_p legendre_q leinstein length let letrules letsimp levi_civita lfreeof lgtreillis lhs li liediff limit Lindstedt linear linearinterpol linear_program linear_regression line_graph linsolve listarray list_correlations listify list_matrix_entries list_nc_monomials listoftens listofvars listp lmax lmin load loadfile local locate_matrix_entry log logcontract log_gamma lopow lorentz_gauge lowercasep lpart lratsubst lreduce lriemann lsquares_estimates lsquares_estimates_approximate lsquares_estimates_exact lsquares_mse lsquares_residual_mse lsquares_residuals lsum ltreillis lu_backsub lucas lu_factor %m macroexpand macroexpand1 make_array makebox makefact makegamma make_graph make_level_picture makelist makeOrders make_poly_continent make_poly_country make_polygon make_random_state make_rgb_picture makeset make_string_input_stream make_string_output_stream make_transform mandelbrot mandelbrot_set map mapatom maplist matchdeclare matchfix mat_cond mat_fullunblocker mat_function mathml_display mat_norm matrix matrixmap matrixp matrix_size mattrace mat_trace mat_unblocker max max_clique max_degree max_flow maximize_lp max_independent_set max_matching maybe md5sum mean mean_bernoulli mean_beta mean_binomial mean_chi2 mean_continuous_uniform mean_deviation mean_discrete_uniform mean_exp mean_f mean_gamma mean_general_finite_discrete mean_geometric mean_gumbel mean_hypergeometric mean_laplace mean_logistic mean_lognormal mean_negative_binomial mean_noncentral_chi2 mean_noncentral_student_t mean_normal mean_pareto mean_poisson mean_rayleigh mean_student_t mean_weibull median median_deviation member mesh metricexpandall mgf1_sha1 min min_degree min_edge_cut minfactorial minimalPoly minimize_lp minimum_spanning_tree minor minpack_lsquares minpack_solve min_vertex_cover min_vertex_cut mkdir mnewton mod mode_declare mode_identity ModeMatrix moebius mon2schur mono monomial_dimensions multibernstein_poly multi_display_for_texinfo multi_elem multinomial multinomial_coeff multi_orbit multiplot_mode multi_pui multsym multthru mycielski_graph nary natural_unit nc_degree ncexpt ncharpoly negative_picture neighbors new newcontext newdet new_graph newline newton new_variable next_prime nicedummies niceindices ninth nofix nonarray noncentral_moment nonmetricity nonnegintegerp nonscalarp nonzeroandfreeof notequal nounify nptetrad npv nroots nterms ntermst nthroot nullity nullspace num numbered_boundaries numberp number_to_octets num_distinct_partitions numerval numfactor num_partitions nusum nzeta nzetai nzetar octets_to_number octets_to_oid odd_girth oddp ode2 ode_check odelin oid_to_octets op opena opena_binary openr openr_binary openw openw_binary operatorp opsubst optimize %or orbit orbits ordergreat ordergreatp orderless orderlessp orthogonal_complement orthopoly_recur orthopoly_weight outermap out_neighbors outofpois pade parabolic_cylinder_d parametric parametric_surface parg parGosper parse_string parse_timedate part part2cont partfrac partition partition_set partpol path_digraph path_graph pathname_directory pathname_name pathname_type pdf_bernoulli pdf_beta pdf_binomial pdf_cauchy pdf_chi2 pdf_continuous_uniform pdf_discrete_uniform pdf_exp pdf_f pdf_gamma pdf_general_finite_discrete pdf_geometric pdf_gumbel pdf_hypergeometric pdf_laplace pdf_logistic pdf_lognormal pdf_negative_binomial pdf_noncentral_chi2 pdf_noncentral_student_t pdf_normal pdf_pareto pdf_poisson pdf_rank_sum pdf_rayleigh pdf_signed_rank pdf_student_t pdf_weibull pearson_skewness permanent permut permutation permutations petersen_graph petrov pickapart picture_equalp picturep piechart piechart_description planar_embedding playback plog plot2d plot3d plotdf ploteq plsquares pochhammer points poisdiff poisexpt poisint poismap poisplus poissimp poissubst poistimes poistrim polar polarform polartorect polar_to_xy poly_add poly_buchberger poly_buchberger_criterion poly_colon_ideal poly_content polydecomp poly_depends_p poly_elimination_ideal poly_exact_divide poly_expand poly_expt poly_gcd polygon poly_grobner poly_grobner_equal poly_grobner_member poly_grobner_subsetp poly_ideal_intersection poly_ideal_polysaturation poly_ideal_polysaturation1 poly_ideal_saturation poly_ideal_saturation1 poly_lcm poly_minimization polymod poly_multiply polynome2ele polynomialp poly_normal_form poly_normalize poly_normalize_list poly_polysaturation_extension poly_primitive_part poly_pseudo_divide poly_reduced_grobner poly_reduction poly_saturation_extension poly_s_polynomial poly_subtract polytocompanion pop postfix potential power_mod powerseries powerset prefix prev_prime primep primes principal_components print printf printfile print_graph printpois printprops prodrac product properties propvars psi psubst ptriangularize pui pui2comp pui2ele pui2polynome pui_direct puireduc push put pv qput qrange qty quad_control quad_qag quad_qagi quad_qagp quad_qags quad_qawc quad_qawf quad_qawo quad_qaws quadrilateral quantile quantile_bernoulli quantile_beta quantile_binomial quantile_cauchy quantile_chi2 quantile_continuous_uniform quantile_discrete_uniform quantile_exp quantile_f quantile_gamma quantile_general_finite_discrete quantile_geometric quantile_gumbel quantile_hypergeometric quantile_laplace quantile_logistic quantile_lognormal quantile_negative_binomial quantile_noncentral_chi2 quantile_noncentral_student_t quantile_normal quantile_pareto quantile_poisson quantile_rayleigh quantile_student_t quantile_weibull quartile_skewness quit qunit quotient racah_v racah_w radcan radius random random_bernoulli random_beta random_binomial random_bipartite_graph random_cauchy random_chi2 random_continuous_uniform random_digraph random_discrete_uniform random_exp random_f random_gamma random_general_finite_discrete random_geometric random_graph random_graph1 random_gumbel random_hypergeometric random_laplace random_logistic random_lognormal random_negative_binomial random_network random_noncentral_chi2 random_noncentral_student_t random_normal random_pareto random_permutation random_poisson random_rayleigh random_regular_graph random_student_t random_tournament random_tree random_weibull range rank rat ratcoef ratdenom ratdiff ratdisrep ratexpand ratinterpol rational rationalize ratnumer ratnump ratp ratsimp ratsubst ratvars ratweight read read_array read_binary_array read_binary_list read_binary_matrix readbyte readchar read_hashed_array readline read_list read_matrix read_nested_list readonly read_xpm real_imagpart_to_conjugate realpart realroots rearray rectangle rectform rectform_log_if_constant recttopolar rediff reduce_consts reduce_order region region_boundaries region_boundaries_plus rem remainder remarray rembox remcomps remcon remcoord remfun remfunction remlet remove remove_constvalue remove_dimensions remove_edge remove_fundamental_dimensions remove_fundamental_units remove_plot_option remove_vertex rempart remrule remsym remvalue rename rename_file reset reset_displays residue resolvante resolvante_alternee1 resolvante_bipartite resolvante_diedrale resolvante_klein resolvante_klein3 resolvante_produit_sym resolvante_unitaire resolvante_vierer rest resultant return reveal reverse revert revert2 rgb2level rhs ricci riemann rinvariant risch rk rmdir rncombine romberg room rootscontract round row rowop rowswap rreduce run_testsuite %s save saving scalarp scaled_bessel_i scaled_bessel_i0 scaled_bessel_i1 scalefactors scanmap scatterplot scatterplot_description scene schur2comp sconcat scopy scsimp scurvature sdowncase sec sech second sequal sequalignore set_alt_display setdifference set_draw_defaults set_edge_weight setelmx setequalp setify setp set_partitions set_plot_option set_prompt set_random_state set_tex_environment set_tex_environment_default setunits setup_autoload set_up_dot_simplifications set_vertex_label seventh sexplode sf sha1sum sha256sum shortest_path shortest_weighted_path show showcomps showratvars sierpinskiale sierpinskimap sign signum similaritytransform simp_inequality simplify_sum simplode simpmetderiv simtran sin sinh sinsert sinvertcase sixth skewness skewness_bernoulli skewness_beta skewness_binomial skewness_chi2 skewness_continuous_uniform skewness_discrete_uniform skewness_exp skewness_f skewness_gamma skewness_general_finite_discrete skewness_geometric skewness_gumbel skewness_hypergeometric skewness_laplace skewness_logistic skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t skewness_normal skewness_pareto skewness_poisson skewness_rayleigh skewness_student_t skewness_weibull slength smake small_rhombicosidodecahedron_graph small_rhombicuboctahedron_graph smax smin smismatch snowmap snub_cube_graph snub_dodecahedron_graph solve solve_rec solve_rec_rat some somrac sort sparse6_decode sparse6_encode sparse6_export sparse6_import specint spherical spherical_bessel_j spherical_bessel_y spherical_hankel1 spherical_hankel2 spherical_harmonic spherical_to_xyz splice split sposition sprint sqfr sqrt sqrtdenest sremove sremovefirst sreverse ssearch ssort sstatus ssubst ssubstfirst staircase standardize standardize_inverse_trig starplot starplot_description status std std1 std_bernoulli std_beta std_binomial std_chi2 std_continuous_uniform std_discrete_uniform std_exp std_f std_gamma std_general_finite_discrete std_geometric std_gumbel std_hypergeometric std_laplace std_logistic std_lognormal std_negative_binomial std_noncentral_chi2 std_noncentral_student_t std_normal std_pareto std_poisson std_rayleigh std_student_t std_weibull stemplot stirling stirling1 stirling2 strim striml strimr string stringout stringp strong_components struve_h struve_l sublis sublist sublist_indices submatrix subsample subset subsetp subst substinpart subst_parallel substpart substring subvar subvarp sum sumcontract summand_to_rec supcase supcontext symbolp symmdifference symmetricp system take_channel take_inference tan tanh taylor taylorinfo taylorp taylor_simplifier taytorat tcl_output tcontract tellrat tellsimp tellsimpafter tentex tenth test_mean test_means_difference test_normality test_proportion test_proportions_difference test_rank_sum test_sign test_signed_rank test_variance test_variance_ratio tex tex1 tex_display texput %th third throw time timedate timer timer_info tldefint tlimit todd_coxeter toeplitz tokens to_lisp topological_sort to_poly to_poly_solve totaldisrep totalfourier totient tpartpol trace tracematrix trace_options transform_sample translate translate_file transpose treefale tree_reduce treillis treinat triangle triangularize trigexpand trigrat trigreduce trigsimp trunc truncate truncated_cube_graph truncated_dodecahedron_graph truncated_icosahedron_graph truncated_tetrahedron_graph tr_warnings_get tube tutte_graph ueivects uforget ultraspherical underlying_graph undiff union unique uniteigenvectors unitp units unit_step unitvector unorder unsum untellrat untimer untrace uppercasep uricci uriemann uvect vandermonde_matrix var var1 var_bernoulli var_beta var_binomial var_chi2 var_continuous_uniform var_discrete_uniform var_exp var_f var_gamma var_general_finite_discrete var_geometric var_gumbel var_hypergeometric var_laplace var_logistic var_lognormal var_negative_binomial var_noncentral_chi2 var_noncentral_student_t var_normal var_pareto var_poisson var_rayleigh var_student_t var_weibull vector vectorpotential vectorsimp verbify vers vertex_coloring vertex_connectivity vertex_degree vertex_distance vertex_eccentricity vertex_in_degree vertex_out_degree vertices vertices_to_cycle vertices_to_path %w weyl wheel_graph wiener_index wigner_3j wigner_6j wigner_9j with_stdout write_binary_data writebyte write_data writefile wronskian xreduce xthru %y Zeilberger zeroequiv zerofor zeromatrix zeromatrixp zeta zgeev zheev zlange zn_add_table zn_carmichael_lambda zn_characteristic_factors zn_determinant zn_factor_generators zn_invert_by_lu zn_log zn_mult_table absboxchar activecontexts adapt_depth additive adim aform algebraic algepsilon algexact aliases allbut all_dotsimp_denoms allocation allsym alphabetic animation antisymmetric arrays askexp assume_pos assume_pos_pred assumescalar asymbol atomgrad atrig1 axes axis_3d axis_bottom axis_left axis_right axis_top azimuth background background_color backsubst berlefact bernstein_explicit besselexpand beta_args_sum_to_integer beta_expand bftorat bftrunc bindtest border boundaries_array box boxchar breakup %c capping cauchysum cbrange cbtics center cflength cframe_flag cnonmet_flag color color_bar color_bar_tics colorbox columns commutative complex cone context contexts contour contour_levels cosnpiflag ctaypov ctaypt ctayswitch ctayvar ct_coords ctorsion_flag ctrgsimp cube current_let_rule_package cylinder data_file_name debugmode decreasing default_let_rule_package delay dependencies derivabbrev derivsubst detout diagmetric diff dim dimensions dispflag display2d|10 display_format_internal distribute_over doallmxops domain domxexpt domxmxops domxnctimes dontfactor doscmxops doscmxplus dot0nscsimp dot0simp dot1simp dotassoc dotconstrules dotdistrib dotexptsimp dotident dotscrules draw_graph_program draw_realpart edge_color edge_coloring edge_partition edge_type edge_width %edispflag elevation %emode endphi endtheta engineering_format_floats enhanced3d %enumer epsilon_lp erfflag erf_representation errormsg error_size error_syms error_type %e_to_numlog eval even evenfun evflag evfun ev_point expandwrt_denom expintexpand expintrep expon expop exptdispflag exptisolate exptsubst facexpand facsum_combine factlim factorflag factorial_expand factors_only fb feature features file_name file_output_append file_search_demo file_search_lisp file_search_maxima|10 file_search_tests file_search_usage file_type_lisp file_type_maxima|10 fill_color fill_density filled_func fixed_vertices flipflag float2bf font font_size fortindent fortspaces fpprec fpprintprec functions gamma_expand gammalim gdet genindex gensumnum GGFCFMAX GGFINFINITY globalsolve gnuplot_command gnuplot_curve_styles gnuplot_curve_titles gnuplot_default_term_command gnuplot_dumb_term_command gnuplot_file_args gnuplot_file_name gnuplot_out_file gnuplot_pdf_term_command gnuplot_pm3d gnuplot_png_term_command gnuplot_postamble gnuplot_preamble gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args Gosper_in_Zeilberger gradefs grid grid2d grind halfangles head_angle head_both head_length head_type height hypergeometric_representation %iargs ibase icc1 icc2 icounter idummyx ieqnprint ifb ifc1 ifc2 ifg ifgi ifr iframe_bracket_form ifri igeowedge_flag ikt1 ikt2 imaginary inchar increasing infeval infinity inflag infolists inm inmc1 inmc2 intanalysis integer integervalued integrate_use_rootsof integration_constant integration_constant_counter interpolate_color intfaclim ip_grid ip_grid_in irrational isolate_wrt_times iterations itr julia_parameter %k1 %k2 keepfloat key key_pos kinvariant kt label label_alignment label_orientation labels lassociative lbfgs_ncorrections lbfgs_nfeval_max leftjust legend letrat let_rule_packages lfg lg lhospitallim limsubst linear linear_solver linechar linel|10 linenum line_type linewidth line_width linsolve_params linsolvewarn lispdisp listarith listconstvars listdummyvars lmxchar load_pathname loadprint logabs logarc logcb logconcoeffp logexpand lognegint logsimp logx logx_secondary logy logy_secondary logz lriem m1pbranch macroexpansion macros mainvar manual_demo maperror mapprint matrix_element_add matrix_element_mult matrix_element_transpose maxapplydepth maxapplyheight maxima_tempdir|10 maxima_userdir|10 maxnegex MAX_ORD maxposex maxpsifracdenom maxpsifracnum maxpsinegint maxpsiposint maxtayorder mesh_lines_color method mod_big_prime mode_check_errorp mode_checkp mode_check_warnp mod_test mod_threshold modular_linear_solver modulus multiplicative multiplicities myoptions nary negdistrib negsumdispflag newline newtonepsilon newtonmaxiter nextlayerfactor niceindicespref nm nmc noeval nolabels nonegative_lp noninteger nonscalar noun noundisp nouns np npi nticks ntrig numer numer_pbranch obase odd oddfun opacity opproperties opsubst optimprefix optionset orientation origin orthopoly_returns_intervals outative outchar packagefile palette partswitch pdf_file pfeformat phiresolution %piargs piece pivot_count_sx pivot_max_sx plot_format plot_options plot_realpart png_file pochhammer_max_index points pointsize point_size points_joined point_type poislim poisson poly_coefficient_ring poly_elimination_order polyfactor poly_grobner_algorithm poly_grobner_debug poly_monomial_order poly_primary_elimination_order poly_return_term_list poly_secondary_elimination_order poly_top_reduction_only posfun position powerdisp pred prederror primep_number_of_tests product_use_gamma program programmode promote_float_to_bigfloat prompt proportional_axes props psexpand ps_file radexpand radius radsubstflag rassociative ratalgdenom ratchristof ratdenomdivide rateinstein ratepsilon ratfac rational ratmx ratprint ratriemann ratsimpexpons ratvarswitch ratweights ratweyl ratwtlvl real realonly redraw refcheck resolution restart resultant ric riem rmxchar %rnum_list rombergabs rombergit rombergmin rombergtol rootsconmode rootsepsilon run_viewer same_xy same_xyz savedef savefactors scalar scalarmatrixp scale scale_lp setcheck setcheckbreak setval show_edge_color show_edges show_edge_type show_edge_width show_id show_label showtime show_vertex_color show_vertex_size show_vertex_type show_vertices show_weight simp simplified_output simplify_products simpproduct simpsum sinnpiflag solvedecomposes solveexplicit solvefactors solvenullwarn solveradcan solvetrigwarn space sparse sphere spring_embedding_depth sqrtdispflag stardisp startphi starttheta stats_numer stringdisp structures style sublis_apply_lambda subnumsimp sumexpand sumsplitfact surface surface_hide svg_file symmetric tab taylordepth taylor_logexpand taylor_order_coefficients taylor_truncate_polynomials tensorkill terminal testsuite_files thetaresolution timer_devalue title tlimswitch tr track transcompile transform transform_xy translate_fast_arrays transparent transrun tr_array_as_ref tr_bound_function_applyp tr_file_tty_messagesp tr_float_can_branch_complex tr_function_call_default trigexpandplus trigexpandtimes triginverses trigsign trivial_solutions tr_numer tr_optimize_max_loop tr_semicompile tr_state_vars tr_warn_bad_function_calls tr_warn_fexpr tr_warn_meval tr_warn_mode tr_warn_undeclared tr_warn_undefined_variable tstep ttyoff tube_extremes ufg ug %unitexpand unit_vectors uric uriem use_fast_arrays user_preamble usersetunits values vect_cross verbose vertex_color vertex_coloring vertex_partition vertex_size vertex_type view warnings weyl width windowname windowtitle wired_surface wireframe xaxis xaxis_color xaxis_secondary xaxis_type xaxis_width xlabel xlabel_secondary xlength xrange xrange_secondary xtics xtics_axis xtics_rotate xtics_rotate_secondary xtics_secondary xtics_secondary_axis xu_grid x_voxel xy_file xyplane xy_scale yaxis yaxis_color yaxis_secondary yaxis_type yaxis_width ylabel ylabel_secondary ylength yrange yrange_secondary ytics ytics_axis ytics_rotate ytics_rotate_secondary ytics_secondary ytics_secondary_axis yv_grid y_voxel yx_ratio zaxis zaxis_color zaxis_type zaxis_width zeroa zerob zerobern zeta%pi zlabel zlabel_rotate zlength zmin zn_primroot_limit zn_primroot_pretest",symbol:"_ __ %|0 %%|0"},contains:[{className:"comment",begin:"/\\*",end:"\\*/",contains:["self"]},e.QUOTE_STRING_MODE,{className:"number",relevance:0,variants:[{begin:"\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Ee][-+]?\\d+\\b"},{begin:"\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Bb][-+]?\\d+\\b",relevance:10},{begin:"\\b(\\.\\d+|\\d+\\.\\d+)\\b"},{begin:"\\b(\\d+|0[0-9A-Za-z]+)\\.?\\b"}]}],illegal:/@/}}var kK=LK;function PK(e){return{name:"MEL",keywords:"int float string vector matrix if else switch case default while do for in break continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor animDisplay animView annotate appendStringArray applicationName applyAttrPreset applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem componentEditor compositingInterop computePolysetVolume condition cone confirmDialog connectAttr connectControl connectDynamic connectJoint connectionInfo constrain constrainValue constructionHistory container containsMultibyte contextInfo control convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected displayColor displayCull displayLevelOfDetail displayPref displayRGBColor displaySmoothness displayStats displayString displaySurface distanceDimContext distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor editorTemplate effector emit emitter enableDevice encodeString endString endsWith env equivalent equivalentTol erf error eval evalDeferred evalEcho event exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo filetest filletCurve filter filterCurve filterExpand filterStudioImport findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss geometryConstraint getApplicationVersionAsFloat getAttr getClassification getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation listNodeTypes listPanelCategories listRelatives listSets listTransforms listUnselected listerEditor loadFluid loadNewShelf loadPlugin loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration panelHistory paramDimContext paramDimension paramLocator parent parentConstraint particle particleExists particleInstancer particleRenderInfo partition pasteKey pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE registerPluginResource rehash reloadImage removeJoint removeMultiInstance removePanelCategory rename renameAttr renameSelectionList renameUI render renderGlobalsNode renderInfo renderLayerButton renderLayerParent renderLayerPostProcess renderLayerUnparent renderManip renderPartition renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor renderWindowSelectContext renderer reorder reorderDeformers requires reroot resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType selectedNodes selectionConnection separator setAttr setAttrEnumResource setAttrMapping setAttrNiceNameResource setConstraintRestPosition setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField shortNameOf showHelp showHidden showManipCtx showSelectionInTitle showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString stringToStringArray strip stripPrefixFromName stroke subdAutoProjection subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList textToShelf textureDisplacePlane textureHairColor texturePlacementContext textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper trace track trackCtx transferAttributes transformCompare transformLimits translator trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform",illegal:""},{begin:"<=",relevance:0},{begin:"=>",relevance:0},{begin:"/\\\\"},{begin:"\\\\/"}]},{className:"built_in",variants:[{begin:":-\\|-->"},{begin:"=",relevance:0}]},n,e.C_BLOCK_COMMENT_MODE,r,e.NUMBER_MODE,a,l,{begin:/:-/},{begin:/\.$/}]}}var UK=FK;function GK(e){return{name:"MIPS Assembly",case_insensitive:!0,aliases:["mips"],keywords:{$pattern:"\\.?"+e.IDENT_RE,meta:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .ltorg ",built_in:"$0 $1 $2 $3 $4 $5 $6 $7 $8 $9 $10 $11 $12 $13 $14 $15 $16 $17 $18 $19 $20 $21 $22 $23 $24 $25 $26 $27 $28 $29 $30 $31 zero at v0 v1 a0 a1 a2 a3 a4 a5 a6 a7 t0 t1 t2 t3 t4 t5 t6 t7 t8 t9 s0 s1 s2 s3 s4 s5 s6 s7 s8 k0 k1 gp sp fp ra $f0 $f1 $f2 $f2 $f4 $f5 $f6 $f7 $f8 $f9 $f10 $f11 $f12 $f13 $f14 $f15 $f16 $f17 $f18 $f19 $f20 $f21 $f22 $f23 $f24 $f25 $f26 $f27 $f28 $f29 $f30 $f31 Context Random EntryLo0 EntryLo1 Context PageMask Wired EntryHi HWREna BadVAddr Count Compare SR IntCtl SRSCtl SRSMap Cause EPC PRId EBase Config Config1 Config2 Config3 LLAddr Debug DEPC DESAVE CacheErr ECC ErrorEPC TagLo DataLo TagHi DataHi WatchLo WatchHi PerfCtl PerfCnt "},contains:[{className:"keyword",begin:"\\b(addi?u?|andi?|b(al)?|beql?|bgez(al)?l?|bgtzl?|blezl?|bltz(al)?l?|bnel?|cl[oz]|divu?|ext|ins|j(al)?|jalr(\\.hb)?|jr(\\.hb)?|lbu?|lhu?|ll|lui|lw[lr]?|maddu?|mfhi|mflo|movn|movz|move|msubu?|mthi|mtlo|mul|multu?|nop|nor|ori?|rotrv?|sb|sc|se[bh]|sh|sllv?|slti?u?|srav?|srlv?|subu?|sw[lr]?|xori?|wsbh|abs\\.[sd]|add\\.[sd]|alnv.ps|bc1[ft]l?|c\\.(s?f|un|u?eq|[ou]lt|[ou]le|ngle?|seq|l[et]|ng[et])\\.[sd]|(ceil|floor|round|trunc)\\.[lw]\\.[sd]|cfc1|cvt\\.d\\.[lsw]|cvt\\.l\\.[dsw]|cvt\\.ps\\.s|cvt\\.s\\.[dlw]|cvt\\.s\\.p[lu]|cvt\\.w\\.[dls]|div\\.[ds]|ldx?c1|luxc1|lwx?c1|madd\\.[sd]|mfc1|mov[fntz]?\\.[ds]|msub\\.[sd]|mth?c1|mul\\.[ds]|neg\\.[ds]|nmadd\\.[ds]|nmsub\\.[ds]|p[lu][lu]\\.ps|recip\\.fmt|r?sqrt\\.[ds]|sdx?c1|sub\\.[ds]|suxc1|swx?c1|break|cache|d?eret|[de]i|ehb|mfc0|mtc0|pause|prefx?|rdhwr|rdpgpr|sdbbp|ssnop|synci?|syscall|teqi?|tgei?u?|tlb(p|r|w[ir])|tlti?u?|tnei?|wait|wrpgpr)",end:"\\s"},e.COMMENT("[;#](?!\\s*$)","$"),e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"0x[0-9a-f]+"},{begin:"\\b-?\\d+"}],relevance:0},{className:"symbol",variants:[{begin:"^\\s*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"^\\s*[0-9]+:"},{begin:"[0-9]+[bf]"}],relevance:0}],illegal:/\//}}var qK=GK;function YK(e){return{name:"Mizar",keywords:"environ vocabularies notations constructors definitions registrations theorems schemes requirements begin end definition registration cluster existence pred func defpred deffunc theorem proof let take assume then thus hence ex for st holds consider reconsider such that and in provided of as from be being by means equals implies iff redefine define now not or attr is mode suppose per cases set thesis contradiction scheme reserve struct correctness compatibility coherence symmetry assymetry reflexivity irreflexivity connectedness uniqueness commutativity idempotence involutiveness projectivity",contains:[e.COMMENT("::","$")]}}var zK=YK;function $K(e){const t=e.regex,n=["abs","accept","alarm","and","atan2","bind","binmode","bless","break","caller","chdir","chmod","chomp","chop","chown","chr","chroot","close","closedir","connect","continue","cos","crypt","dbmclose","dbmopen","defined","delete","die","do","dump","each","else","elsif","endgrent","endhostent","endnetent","endprotoent","endpwent","endservent","eof","eval","exec","exists","exit","exp","fcntl","fileno","flock","for","foreach","fork","format","formline","getc","getgrent","getgrgid","getgrnam","gethostbyaddr","gethostbyname","gethostent","getlogin","getnetbyaddr","getnetbyname","getnetent","getpeername","getpgrp","getpriority","getprotobyname","getprotobynumber","getprotoent","getpwent","getpwnam","getpwuid","getservbyname","getservbyport","getservent","getsockname","getsockopt","given","glob","gmtime","goto","grep","gt","hex","if","index","int","ioctl","join","keys","kill","last","lc","lcfirst","length","link","listen","local","localtime","log","lstat","lt","ma","map","mkdir","msgctl","msgget","msgrcv","msgsnd","my","ne","next","no","not","oct","open","opendir","or","ord","our","pack","package","pipe","pop","pos","print","printf","prototype","push","q|0","qq","quotemeta","qw","qx","rand","read","readdir","readline","readlink","readpipe","recv","redo","ref","rename","require","reset","return","reverse","rewinddir","rindex","rmdir","say","scalar","seek","seekdir","select","semctl","semget","semop","send","setgrent","sethostent","setnetent","setpgrp","setpriority","setprotoent","setpwent","setservent","setsockopt","shift","shmctl","shmget","shmread","shmwrite","shutdown","sin","sleep","socket","socketpair","sort","splice","split","sprintf","sqrt","srand","stat","state","study","sub","substr","symlink","syscall","sysopen","sysread","sysseek","system","syswrite","tell","telldir","tie","tied","time","times","tr","truncate","uc","ucfirst","umask","undef","unless","unlink","unpack","unshift","untie","until","use","utime","values","vec","wait","waitpid","wantarray","warn","when","while","write","x|0","xor","y|0"],r=/[dualxmsipngr]{0,12}/,a={$pattern:/[\w.]+/,keyword:n.join(" ")},l={className:"subst",begin:"[$@]\\{",end:"\\}",keywords:a},u={begin:/->\{/,end:/\}/},c={variants:[{begin:/\$\d/},{begin:t.concat(/[$%@](\^\w\b|#\w+(::\w+)*|\{\w+\}|\w+(::\w*)*)/,"(?![A-Za-z])(?![@$%])")},{begin:/[$%@][^\s\w{]/,relevance:0}]},d=[e.BACKSLASH_ESCAPE,l,c],g=[/!/,/\//,/\|/,/\?/,/'/,/"/,/#/],f=(m,y,b="\\1")=>{const C=b==="\\1"?b:t.concat(b,y);return t.concat(t.concat("(?:",m,")"),y,/(?:\\.|[^\\\/])*?/,C,/(?:\\.|[^\\\/])*?/,b,r)},h=(m,y,b)=>t.concat(t.concat("(?:",m,")"),y,/(?:\\.|[^\\\/])*?/,b,r),p=[c,e.HASH_COMMENT_MODE,e.COMMENT(/^=\w/,/=cut/,{endsWithParent:!0}),u,{className:"string",contains:d,variants:[{begin:"q[qwxr]?\\s*\\(",end:"\\)",relevance:5},{begin:"q[qwxr]?\\s*\\[",end:"\\]",relevance:5},{begin:"q[qwxr]?\\s*\\{",end:"\\}",relevance:5},{begin:"q[qwxr]?\\s*\\|",end:"\\|",relevance:5},{begin:"q[qwxr]?\\s*<",end:">",relevance:5},{begin:"qw\\s+q",end:"q",relevance:5},{begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE]},{begin:'"',end:'"'},{begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE]},{begin:/\{\w+\}/,relevance:0},{begin:"-?\\w+\\s*=>",relevance:0}]},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},{begin:"(\\/\\/|"+e.RE_STARTERS_RE+"|\\b(split|return|print|reverse|grep)\\b)\\s*",keywords:"split return print reverse grep",relevance:0,contains:[e.HASH_COMMENT_MODE,{className:"regexp",variants:[{begin:f("s|tr|y",t.either(...g,{capture:!0}))},{begin:f("s|tr|y","\\(","\\)")},{begin:f("s|tr|y","\\[","\\]")},{begin:f("s|tr|y","\\{","\\}")}],relevance:2},{className:"regexp",variants:[{begin:/(m|qr)\/\//,relevance:0},{begin:h("(?:m|qr)?",/\//,/\//)},{begin:h("m|qr",t.either(...g,{capture:!0}),/\1/)},{begin:h("m|qr",/\(/,/\)/)},{begin:h("m|qr",/\[/,/\]/)},{begin:h("m|qr",/\{/,/\}/)}]}]},{className:"function",beginKeywords:"sub",end:"(\\s*\\(.*?\\))?[;{]",excludeEnd:!0,relevance:5,contains:[e.TITLE_MODE]},{begin:"-\\w\\b",relevance:0},{begin:"^__DATA__$",end:"^__END__$",subLanguage:"mojolicious",contains:[{begin:"^@@.*",end:"$",className:"comment"}]}];return l.contains=p,u.contains=p,{name:"Perl",aliases:["pl","pm"],keywords:a,contains:p}}var HK=$K;function VK(e){return{name:"Mojolicious",subLanguage:"xml",contains:[{className:"meta",begin:"^__(END|DATA)__$"},{begin:"^\\s*%{1,2}={0,2}",end:"$",subLanguage:"perl"},{begin:"<%{1,2}={0,2}",end:"={0,1}%>",subLanguage:"perl",excludeBegin:!0,excludeEnd:!0}]}}var WK=VK;function KK(e){const t={className:"number",relevance:0,variants:[{begin:"[$][a-fA-F0-9]+"},e.NUMBER_MODE]},n={variants:[{match:[/(function|method)/,/\s+/,e.UNDERSCORE_IDENT_RE]}],scope:{1:"keyword",3:"title.function"}},r={variants:[{match:[/(class|interface|extends|implements)/,/\s+/,e.UNDERSCORE_IDENT_RE]}],scope:{1:"keyword",3:"title.class"}};return{name:"Monkey",case_insensitive:!0,keywords:{keyword:["public","private","property","continue","exit","extern","new","try","catch","eachin","not","abstract","final","select","case","default","const","local","global","field","end","if","then","else","elseif","endif","while","wend","repeat","until","forever","for","to","step","next","return","module","inline","throw","import","and","or","shl","shr","mod"],built_in:["DebugLog","DebugStop","Error","Print","ACos","ACosr","ASin","ASinr","ATan","ATan2","ATan2r","ATanr","Abs","Abs","Ceil","Clamp","Clamp","Cos","Cosr","Exp","Floor","Log","Max","Max","Min","Min","Pow","Sgn","Sgn","Sin","Sinr","Sqrt","Tan","Tanr","Seed","PI","HALFPI","TWOPI"],literal:["true","false","null"]},illegal:/\/\*/,contains:[e.COMMENT("#rem","#end"),e.COMMENT("'","$",{relevance:0}),n,r,{className:"variable.language",begin:/\b(self|super)\b/},{className:"meta",begin:/\s*#/,end:"$",keywords:{keyword:"if else elseif endif end then"}},{match:[/^\s*/,/strict\b/],scope:{2:"meta"}},{beginKeywords:"alias",end:"=",contains:[e.UNDERSCORE_TITLE_MODE]},e.QUOTE_STRING_MODE,t]}}var QK=KK;function jK(e){const t={keyword:"if then not for in while do return else elseif break continue switch and or unless when class extends super local import export from using",literal:"true false nil",built_in:"_G _VERSION assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall coroutine debug io math os package string table"},n="[A-Za-z$_][0-9A-Za-z$_]*",r={className:"subst",begin:/#\{/,end:/\}/,keywords:t},a=[e.inherit(e.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'/,end:/'/,contains:[e.BACKSLASH_ESCAPE]},{begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,r]}]},{className:"built_in",begin:"@__"+e.IDENT_RE},{begin:"@"+e.IDENT_RE},{begin:e.IDENT_RE+"\\\\"+e.IDENT_RE}];r.contains=a;const l=e.inherit(e.TITLE_MODE,{begin:n}),u="(\\(.*\\)\\s*)?\\B[-=]>",c={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:t,contains:["self"].concat(a)}]};return{name:"MoonScript",aliases:["moon"],keywords:t,illegal:/\/\*/,contains:a.concat([e.COMMENT("--","$"),{className:"function",begin:"^\\s*"+n+"\\s*=\\s*"+u,end:"[-=]>",returnBegin:!0,contains:[l,c]},{begin:/[\(,:=]\s*/,relevance:0,contains:[{className:"function",begin:u,end:"[-=]>",returnBegin:!0,contains:[c]}]},{className:"class",beginKeywords:"class",end:"$",illegal:/[:="\[\]]/,contains:[{beginKeywords:"extends",endsWithParent:!0,illegal:/[:="\[\]]/,contains:[l]},l]},{className:"name",begin:n+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}var XK=jK;function ZK(e){return{name:"N1QL",case_insensitive:!0,contains:[{beginKeywords:"build create index delete drop explain infer|10 insert merge prepare select update upsert|10",end:/;/,keywords:{keyword:["all","alter","analyze","and","any","array","as","asc","begin","between","binary","boolean","break","bucket","build","by","call","case","cast","cluster","collate","collection","commit","connect","continue","correlate","cover","create","database","dataset","datastore","declare","decrement","delete","derived","desc","describe","distinct","do","drop","each","element","else","end","every","except","exclude","execute","exists","explain","fetch","first","flatten","for","force","from","function","grant","group","gsi","having","if","ignore","ilike","in","include","increment","index","infer","inline","inner","insert","intersect","into","is","join","key","keys","keyspace","known","last","left","let","letting","like","limit","lsm","map","mapping","matched","materialized","merge","minus","namespace","nest","not","number","object","offset","on","option","or","order","outer","over","parse","partition","password","path","pool","prepare","primary","private","privilege","procedure","public","raw","realm","reduce","rename","return","returning","revoke","right","role","rollback","satisfies","schema","select","self","semi","set","show","some","start","statistics","string","system","then","to","transaction","trigger","truncate","under","union","unique","unknown","unnest","unset","update","upsert","use","user","using","validate","value","valued","values","via","view","when","where","while","with","within","work","xor"],literal:["true","false","null","missing|5"],built_in:["array_agg","array_append","array_concat","array_contains","array_count","array_distinct","array_ifnull","array_length","array_max","array_min","array_position","array_prepend","array_put","array_range","array_remove","array_repeat","array_replace","array_reverse","array_sort","array_sum","avg","count","max","min","sum","greatest","least","ifmissing","ifmissingornull","ifnull","missingif","nullif","ifinf","ifnan","ifnanorinf","naninf","neginfif","posinfif","clock_millis","clock_str","date_add_millis","date_add_str","date_diff_millis","date_diff_str","date_part_millis","date_part_str","date_trunc_millis","date_trunc_str","duration_to_str","millis","str_to_millis","millis_to_str","millis_to_utc","millis_to_zone_name","now_millis","now_str","str_to_duration","str_to_utc","str_to_zone_name","decode_json","encode_json","encoded_size","poly_length","base64","base64_encode","base64_decode","meta","uuid","abs","acos","asin","atan","atan2","ceil","cos","degrees","e","exp","ln","log","floor","pi","power","radians","random","round","sign","sin","sqrt","tan","trunc","object_length","object_names","object_pairs","object_inner_pairs","object_values","object_inner_values","object_add","object_put","object_remove","object_unwrap","regexp_contains","regexp_like","regexp_position","regexp_replace","contains","initcap","length","lower","ltrim","position","repeat","replace","rtrim","split","substr","title","trim","upper","isarray","isatom","isboolean","isnumber","isobject","isstring","type","toarray","toatom","toboolean","tonumber","toobject","tostring"]},contains:[{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE]},{className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE]},{className:"symbol",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE]},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_BLOCK_COMMENT_MODE]}}var JK=ZK;function eQ(e){const t={match:[/^\s*(?=\S)/,/[^:]+/,/:\s*/,/$/],className:{2:"attribute",3:"punctuation"}},n={match:[/^\s*(?=\S)/,/[^:]*[^: ]/,/[ ]*:/,/[ ]/,/.*$/],className:{2:"attribute",3:"punctuation",5:"string"}},r={match:[/^\s*/,/>/,/[ ]/,/.*$/],className:{2:"punctuation",4:"string"}},a={variants:[{match:[/^\s*/,/-/,/[ ]/,/.*$/]},{match:[/^\s*/,/-$/]}],className:{2:"bullet",4:"string"}};return{name:"Nested Text",aliases:["nt"],contains:[e.inherit(e.HASH_COMMENT_MODE,{begin:/^\s*(?=#)/,excludeBegin:!0}),a,r,t,n]}}var tQ=eQ;function nQ(e){const t=e.regex,n={className:"variable",variants:[{begin:/\$\d+/},{begin:/\$\{\w+\}/},{begin:t.concat(/[$@]/,e.UNDERSCORE_IDENT_RE)}]},a={endsWithParent:!0,keywords:{$pattern:/[a-z_]{2,}|\/dev\/poll/,literal:["on","off","yes","no","true","false","none","blocked","debug","info","notice","warn","error","crit","select","break","last","permanent","redirect","kqueue","rtsig","epoll","poll","/dev/poll"]},relevance:0,illegal:"=>",contains:[e.HASH_COMMENT_MODE,{className:"string",contains:[e.BACKSLASH_ESCAPE,n],variants:[{begin:/"/,end:/"/},{begin:/'/,end:/'/}]},{begin:"([a-z]+):/",end:"\\s",endsWithParent:!0,excludeEnd:!0,contains:[n]},{className:"regexp",contains:[e.BACKSLASH_ESCAPE,n],variants:[{begin:"\\s\\^",end:"\\s|\\{|;",returnEnd:!0},{begin:"~\\*?\\s+",end:"\\s|\\{|;",returnEnd:!0},{begin:"\\*(\\.[a-z\\-]+)+"},{begin:"([a-z\\-]+\\.)+\\*"}]},{className:"number",begin:"\\b\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b"},{className:"number",begin:"\\b\\d+[kKmMgGdshdwy]?\\b",relevance:0},n]};return{name:"Nginx config",aliases:["nginxconf"],contains:[e.HASH_COMMENT_MODE,{beginKeywords:"upstream location",end:/;|\{/,contains:a.contains,keywords:{section:"upstream location"}},{className:"section",begin:t.concat(e.UNDERSCORE_IDENT_RE+t.lookahead(/\s+\{/)),relevance:0},{begin:t.lookahead(e.UNDERSCORE_IDENT_RE+"\\s"),end:";|\\{",contains:[{className:"attribute",begin:e.UNDERSCORE_IDENT_RE,starts:a}],relevance:0}],illegal:"[^\\s\\}\\{]"}}var rQ=nQ;function iQ(e){return{name:"Nim",keywords:{keyword:["addr","and","as","asm","bind","block","break","case","cast","const","continue","converter","discard","distinct","div","do","elif","else","end","enum","except","export","finally","for","from","func","generic","guarded","if","import","in","include","interface","is","isnot","iterator","let","macro","method","mixin","mod","nil","not","notin","object","of","or","out","proc","ptr","raise","ref","return","shared","shl","shr","static","template","try","tuple","type","using","var","when","while","with","without","xor","yield"],literal:["true","false"],type:["int","int8","int16","int32","int64","uint","uint8","uint16","uint32","uint64","float","float32","float64","bool","char","string","cstring","pointer","expr","stmt","void","auto","any","range","array","openarray","varargs","seq","set","clong","culong","cchar","cschar","cshort","cint","csize","clonglong","cfloat","cdouble","clongdouble","cuchar","cushort","cuint","culonglong","cstringarray","semistatic"],built_in:["stdin","stdout","stderr","result"]},contains:[{className:"meta",begin:/\{\./,end:/\.\}/,relevance:10},{className:"string",begin:/[a-zA-Z]\w*"/,end:/"/,contains:[{begin:/""/}]},{className:"string",begin:/([a-zA-Z]\w*)?"""/,end:/"""/},e.QUOTE_STRING_MODE,{className:"type",begin:/\b[A-Z]\w+\b/,relevance:0},{className:"number",relevance:0,variants:[{begin:/\b(0[xX][0-9a-fA-F][_0-9a-fA-F]*)('?[iIuU](8|16|32|64))?/},{begin:/\b(0o[0-7][_0-7]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(0(b|B)[01][_01]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(\d[_\d]*)('?[iIuUfF](8|16|32|64))?/}]},e.HASH_COMMENT_MODE]}}var aQ=iQ;function oQ(e){const t={keyword:["rec","with","let","in","inherit","assert","if","else","then"],literal:["true","false","or","and","null"],built_in:["import","abort","baseNameOf","dirOf","isNull","builtins","map","removeAttrs","throw","toString","derivation"]},n={className:"subst",begin:/\$\{/,end:/\}/,keywords:t},r={className:"char.escape",begin:/''\$/},a={begin:/[a-zA-Z0-9-_]+(\s*=)/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/\S+/,relevance:.2}]},l={className:"string",contains:[r,n],variants:[{begin:"''",end:"''"},{begin:'"',end:'"'}]},u=[e.NUMBER_MODE,e.HASH_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,l,a];return n.contains=u,{name:"Nix",aliases:["nixos"],keywords:t,contains:u}}var sQ=oQ;function lQ(e){return{name:"Node REPL",contains:[{className:"meta.prompt",starts:{end:/ |$/,starts:{end:"$",subLanguage:"javascript"}},variants:[{begin:/^>(?=[ ]|$)/},{begin:/^\.\.\.(?=[ ]|$)/}]}]}}var cQ=lQ;function uQ(e){const t=e.regex,n=["ADMINTOOLS","APPDATA","CDBURN_AREA","CMDLINE","COMMONFILES32","COMMONFILES64","COMMONFILES","COOKIES","DESKTOP","DOCUMENTS","EXEDIR","EXEFILE","EXEPATH","FAVORITES","FONTS","HISTORY","HWNDPARENT","INSTDIR","INTERNET_CACHE","LANGUAGE","LOCALAPPDATA","MUSIC","NETHOOD","OUTDIR","PICTURES","PLUGINSDIR","PRINTHOOD","PROFILE","PROGRAMFILES32","PROGRAMFILES64","PROGRAMFILES","QUICKLAUNCH","RECENT","RESOURCES_LOCALIZED","RESOURCES","SENDTO","SMPROGRAMS","SMSTARTUP","STARTMENU","SYSDIR","TEMP","TEMPLATES","VIDEOS","WINDIR"],r=["ARCHIVE","FILE_ATTRIBUTE_ARCHIVE","FILE_ATTRIBUTE_NORMAL","FILE_ATTRIBUTE_OFFLINE","FILE_ATTRIBUTE_READONLY","FILE_ATTRIBUTE_SYSTEM","FILE_ATTRIBUTE_TEMPORARY","HKCR","HKCU","HKDD","HKEY_CLASSES_ROOT","HKEY_CURRENT_CONFIG","HKEY_CURRENT_USER","HKEY_DYN_DATA","HKEY_LOCAL_MACHINE","HKEY_PERFORMANCE_DATA","HKEY_USERS","HKLM","HKPD","HKU","IDABORT","IDCANCEL","IDIGNORE","IDNO","IDOK","IDRETRY","IDYES","MB_ABORTRETRYIGNORE","MB_DEFBUTTON1","MB_DEFBUTTON2","MB_DEFBUTTON3","MB_DEFBUTTON4","MB_ICONEXCLAMATION","MB_ICONINFORMATION","MB_ICONQUESTION","MB_ICONSTOP","MB_OK","MB_OKCANCEL","MB_RETRYCANCEL","MB_RIGHT","MB_RTLREADING","MB_SETFOREGROUND","MB_TOPMOST","MB_USERICON","MB_YESNO","NORMAL","OFFLINE","READONLY","SHCTX","SHELL_CONTEXT","SYSTEM|TEMPORARY"],a=["addincludedir","addplugindir","appendfile","cd","define","delfile","echo","else","endif","error","execute","finalize","getdllversion","gettlbversion","if","ifdef","ifmacrodef","ifmacrondef","ifndef","include","insertmacro","macro","macroend","makensis","packhdr","searchparse","searchreplace","system","tempfile","undef","uninstfinalize","verbose","warning"],l={className:"variable.constant",begin:t.concat(/\$/,t.either(...n))},u={className:"variable",begin:/\$+\{[\!\w.:-]+\}/},c={className:"variable",begin:/\$+\w[\w\.]*/,illegal:/\(\)\{\}/},d={className:"variable",begin:/\$+\([\w^.:!-]+\)/},g={className:"params",begin:t.either(...r)},f={className:"keyword",begin:t.concat(/!/,t.either(...a))},h={className:"char.escape",begin:/\$(\\[nrt]|\$)/},p={className:"title.function",begin:/\w+::\w+/},m={className:"string",variants:[{begin:'"',end:'"'},{begin:"'",end:"'"},{begin:"`",end:"`"}],illegal:/\n/,contains:[h,l,u,c,d]},y=["Abort","AddBrandingImage","AddSize","AllowRootDirInstall","AllowSkipFiles","AutoCloseWindow","BGFont","BGGradient","BrandingText","BringToFront","Call","CallInstDLL","Caption","ChangeUI","CheckBitmap","ClearErrors","CompletedText","ComponentText","CopyFiles","CRCCheck","CreateDirectory","CreateFont","CreateShortCut","Delete","DeleteINISec","DeleteINIStr","DeleteRegKey","DeleteRegValue","DetailPrint","DetailsButtonText","DirText","DirVar","DirVerify","EnableWindow","EnumRegKey","EnumRegValue","Exch","Exec","ExecShell","ExecShellWait","ExecWait","ExpandEnvStrings","File","FileBufSize","FileClose","FileErrorText","FileOpen","FileRead","FileReadByte","FileReadUTF16LE","FileReadWord","FileWriteUTF16LE","FileSeek","FileWrite","FileWriteByte","FileWriteWord","FindClose","FindFirst","FindNext","FindWindow","FlushINI","GetCurInstType","GetCurrentAddress","GetDlgItem","GetDLLVersion","GetDLLVersionLocal","GetErrorLevel","GetFileTime","GetFileTimeLocal","GetFullPathName","GetFunctionAddress","GetInstDirError","GetKnownFolderPath","GetLabelAddress","GetTempFileName","GetWinVer","Goto","HideWindow","Icon","IfAbort","IfErrors","IfFileExists","IfRebootFlag","IfRtlLanguage","IfShellVarContextAll","IfSilent","InitPluginsDir","InstallButtonText","InstallColors","InstallDir","InstallDirRegKey","InstProgressFlags","InstType","InstTypeGetText","InstTypeSetText","Int64Cmp","Int64CmpU","Int64Fmt","IntCmp","IntCmpU","IntFmt","IntOp","IntPtrCmp","IntPtrCmpU","IntPtrOp","IsWindow","LangString","LicenseBkColor","LicenseData","LicenseForceSelection","LicenseLangString","LicenseText","LoadAndSetImage","LoadLanguageFile","LockWindow","LogSet","LogText","ManifestDPIAware","ManifestLongPathAware","ManifestMaxVersionTested","ManifestSupportedOS","MessageBox","MiscButtonText","Name|0","Nop","OutFile","Page","PageCallbacks","PEAddResource","PEDllCharacteristics","PERemoveResource","PESubsysVer","Pop","Push","Quit","ReadEnvStr","ReadINIStr","ReadRegDWORD","ReadRegStr","Reboot","RegDLL","Rename","RequestExecutionLevel","ReserveFile","Return","RMDir","SearchPath","SectionGetFlags","SectionGetInstTypes","SectionGetSize","SectionGetText","SectionIn","SectionSetFlags","SectionSetInstTypes","SectionSetSize","SectionSetText","SendMessage","SetAutoClose","SetBrandingImage","SetCompress","SetCompressor","SetCompressorDictSize","SetCtlColors","SetCurInstType","SetDatablockOptimize","SetDateSave","SetDetailsPrint","SetDetailsView","SetErrorLevel","SetErrors","SetFileAttributes","SetFont","SetOutPath","SetOverwrite","SetRebootFlag","SetRegView","SetShellVarContext","SetSilent","ShowInstDetails","ShowUninstDetails","ShowWindow","SilentInstall","SilentUnInstall","Sleep","SpaceTexts","StrCmp","StrCmpS","StrCpy","StrLen","SubCaption","Unicode","UninstallButtonText","UninstallCaption","UninstallIcon","UninstallSubCaption","UninstallText","UninstPage","UnRegDLL","Var","VIAddVersionKey","VIFileVersion","VIProductVersion","WindowIcon","WriteINIStr","WriteRegBin","WriteRegDWORD","WriteRegExpandStr","WriteRegMultiStr","WriteRegNone","WriteRegStr","WriteUninstaller","XPStyle"],b=["admin","all","auto","both","bottom","bzip2","colored","components","current","custom","directory","false","force","hide","highest","ifdiff","ifnewer","instfiles","lastused","leave","left","license","listonly","lzma","nevershow","none","normal","notset","off","on","open","print","right","show","silent","silentlog","smooth","textonly","top","true","try","un.components","un.custom","un.directory","un.instfiles","un.license","uninstConfirm","user","Win10","Win7","Win8","WinVista","zlib"],C={match:[/Function/,/\s+/,t.concat(/(\.)?/,e.IDENT_RE)],scope:{1:"keyword",3:"title.function"}},v={match:[/Var/,/\s+/,/(?:\/GLOBAL\s+)?/,/[A-Za-z][\w.]*/],scope:{1:"keyword",3:"params",4:"variable"}};return{name:"NSIS",case_insensitive:!0,keywords:{keyword:y,literal:b},contains:[e.HASH_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(";","$",{relevance:0}),v,C,{beginKeywords:"Function PageEx Section SectionGroup FunctionEnd SectionEnd"},m,f,u,c,d,g,p,e.NUMBER_MODE]}}var dQ=uQ;function _Q(e){const t={className:"built_in",begin:"\\b(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)\\w+"},n=/[a-zA-Z@][a-zA-Z0-9_]*/,c={"variable.language":["this","super"],$pattern:n,keyword:["while","export","sizeof","typedef","const","struct","for","union","volatile","static","mutable","if","do","return","goto","enum","else","break","extern","asm","case","default","register","explicit","typename","switch","continue","inline","readonly","assign","readwrite","self","@synchronized","id","typeof","nonatomic","IBOutlet","IBAction","strong","weak","copy","in","out","inout","bycopy","byref","oneway","__strong","__weak","__block","__autoreleasing","@private","@protected","@public","@try","@property","@end","@throw","@catch","@finally","@autoreleasepool","@synthesize","@dynamic","@selector","@optional","@required","@encode","@package","@import","@defs","@compatibility_alias","__bridge","__bridge_transfer","__bridge_retained","__bridge_retain","__covariant","__contravariant","__kindof","_Nonnull","_Nullable","_Null_unspecified","__FUNCTION__","__PRETTY_FUNCTION__","__attribute__","getter","setter","retain","unsafe_unretained","nonnull","nullable","null_unspecified","null_resettable","class","instancetype","NS_DESIGNATED_INITIALIZER","NS_UNAVAILABLE","NS_REQUIRES_SUPER","NS_RETURNS_INNER_POINTER","NS_INLINE","NS_AVAILABLE","NS_DEPRECATED","NS_ENUM","NS_OPTIONS","NS_SWIFT_UNAVAILABLE","NS_ASSUME_NONNULL_BEGIN","NS_ASSUME_NONNULL_END","NS_REFINED_FOR_SWIFT","NS_SWIFT_NAME","NS_SWIFT_NOTHROW","NS_DURING","NS_HANDLER","NS_ENDHANDLER","NS_VALUERETURN","NS_VOIDRETURN"],literal:["false","true","FALSE","TRUE","nil","YES","NO","NULL"],built_in:["dispatch_once_t","dispatch_queue_t","dispatch_sync","dispatch_async","dispatch_once"],type:["int","float","char","unsigned","signed","short","long","double","wchar_t","unichar","void","bool","BOOL","id|0","_Bool"]},d={$pattern:n,keyword:["@interface","@class","@protocol","@implementation"]};return{name:"Objective-C",aliases:["mm","objc","obj-c","obj-c++","objective-c++"],keywords:c,illegal:"/,end:/$/,illegal:"\\n"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"class",begin:"("+d.keyword.join("|")+")\\b",end:/(\{|$)/,excludeEnd:!0,keywords:d,contains:[e.UNDERSCORE_TITLE_MODE]},{begin:"\\."+e.UNDERSCORE_IDENT_RE,relevance:0}]}}var pQ=_Q;function mQ(e){return{name:"OCaml",aliases:["ml"],keywords:{$pattern:"[a-z_]\\w*!?",keyword:"and as assert asr begin class constraint do done downto else end exception external for fun function functor if in include inherit! inherit initializer land lazy let lor lsl lsr lxor match method!|10 method mod module mutable new object of open! open or private rec sig struct then to try type val! val virtual when while with parser value",built_in:"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 string unit in_channel out_channel ref",literal:"true false"},illegal:/\/\/|>>/,contains:[{className:"literal",begin:"\\[(\\|\\|)?\\]|\\(\\)",relevance:0},e.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),{className:"symbol",begin:"'[A-Za-z_](?!')[\\w']*"},{className:"type",begin:"`[A-Z][\\w']*"},{className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},{begin:"[a-z_]\\w*'[\\w']*",relevance:0},e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"number",begin:"\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",relevance:0},{begin:/->/}]}}var fQ=mQ;function gQ(e){const t={className:"keyword",begin:"\\$(f[asn]|t|vp[rtd]|children)"},n={className:"literal",begin:"false|true|PI|undef"},r={className:"number",begin:"\\b\\d+(\\.\\d+)?(e-?\\d+)?",relevance:0},a=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),l={className:"meta",keywords:{keyword:"include use"},begin:"include|use <",end:">"},u={className:"params",begin:"\\(",end:"\\)",contains:["self",r,a,t,n]},c={begin:"[*!#%]",relevance:0},d={className:"function",beginKeywords:"module function",end:/=|\{/,contains:[u,e.UNDERSCORE_TITLE_MODE]};return{name:"OpenSCAD",aliases:["scad"],keywords:{keyword:"function module include use for intersection_for if else \\%",literal:"false true PI undef",built_in:"circle square polygon text sphere cube cylinder polyhedron translate rotate scale resize mirror multmatrix color offset hull minkowski union difference intersection abs sign sin cos tan acos asin atan atan2 floor round ceil ln log pow sqrt exp rands min max concat lookup str chr search version version_num norm cross parent_module echo import import_dxf dxf_linear_extrude linear_extrude rotate_extrude surface projection render children dxf_cross dxf_dim let assign"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,l,a,t,c,d]}}var hQ=gQ;function EQ(e){const t={$pattern:/\.?\w+/,keyword:"abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue create default delegate desc distinct div do downto dynamic each else empty end ensure enum equals event except exit extension external false final finalize finalizer finally flags for forward from function future global group has if implementation implements implies in index inherited inline interface into invariants is iterator join locked locking loop matching method mod module namespace nested new nil not notify nullable of old on operator or order out override parallel params partial pinned private procedure property protected public queryable raise read readonly record reintroduce remove repeat require result reverse sealed select self sequence set shl shr skip static step soft take then to true try tuple type union unit unsafe until uses using var virtual raises volatile where while with write xor yield await mapped deprecated stdcall cdecl pascal register safecall overload library platform reference packed strict published autoreleasepool selector strong weak unretained"},n=e.COMMENT(/\{/,/\}/,{relevance:0}),r=e.COMMENT("\\(\\*","\\*\\)",{relevance:10}),a={className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},l={className:"string",begin:"(#\\d+)+"},u={beginKeywords:"function constructor destructor procedure method",end:"[:;]",keywords:"function constructor|10 destructor|10 procedure|10 method|10",contains:[e.inherit(e.TITLE_MODE,{scope:"title.function"}),{className:"params",begin:"\\(",end:"\\)",keywords:t,contains:[a,l]},n,r]},c={scope:"punctuation",match:/;/,relevance:0};return{name:"Oxygene",case_insensitive:!0,keywords:t,illegal:'("|\\$[G-Zg-z]|\\/\\*||->)',contains:[n,r,e.C_LINE_COMMENT_MODE,a,l,e.NUMBER_MODE,u,c]}}var SQ=EQ;function bQ(e){const t=e.COMMENT(/\{/,/\}/,{contains:["self"]});return{name:"Parser3",subLanguage:"xml",relevance:0,contains:[e.COMMENT("^#","$"),e.COMMENT(/\^rem\{/,/\}/,{relevance:10,contains:[t]}),{className:"meta",begin:"^@(?:BASE|USE|CLASS|OPTIONS)$",relevance:10},{className:"title",begin:"@[\\w\\-]+\\[[\\w^;\\-]*\\](?:\\[[\\w^;\\-]*\\])?(?:.*)$"},{className:"variable",begin:/\$\{?[\w\-.:]+\}?/},{className:"keyword",begin:/\^[\w\-.:]+/},{className:"number",begin:"\\^#[0-9a-fA-F]+"},e.C_NUMBER_MODE]}}var vQ=bQ;function TQ(e){const t={className:"variable",begin:/\$[\w\d#@][\w\d_]*/,relevance:0},n={className:"variable",begin:/<(?!\/)/,end:/>/};return{name:"Packet Filter config",aliases:["pf.conf"],keywords:{$pattern:/[a-z0-9_<>-]+/,built_in:"block match pass load anchor|5 antispoof|10 set table",keyword:"in out log quick on rdomain inet inet6 proto from port os to route allow-opts divert-packet divert-reply divert-to flags group icmp-type icmp6-type label once probability recieved-on rtable prio queue tos tag tagged user keep fragment for os drop af-to|10 binat-to|10 nat-to|10 rdr-to|10 bitmask least-stats random round-robin source-hash static-port dup-to reply-to route-to parent bandwidth default min max qlimit block-policy debug fingerprints hostid limit loginterface optimization reassemble ruleset-optimization basic none profile skip state-defaults state-policy timeout const counters persist no modulate synproxy state|5 floating if-bound no-sync pflow|10 sloppy source-track global rule max-src-nodes max-src-states max-src-conn max-src-conn-rate overload flush scrub|5 max-mss min-ttl no-df|10 random-id",literal:"all any no-route self urpf-failed egress|5 unknown"},contains:[e.HASH_COMMENT_MODE,e.NUMBER_MODE,e.QUOTE_STRING_MODE,t,n]}}var yQ=TQ;function CQ(e){const t=e.COMMENT("--","$"),n="[a-zA-Z_][a-zA-Z_0-9$]*",r="\\$([a-zA-Z_]?|[a-zA-Z_][a-zA-Z_0-9]*)\\$",a="<<\\s*"+n+"\\s*>>",l="ABORT ALTER ANALYZE BEGIN CALL CHECKPOINT|10 CLOSE CLUSTER COMMENT COMMIT COPY CREATE DEALLOCATE DECLARE DELETE DISCARD DO DROP END EXECUTE EXPLAIN FETCH GRANT IMPORT INSERT LISTEN LOAD LOCK MOVE NOTIFY PREPARE REASSIGN|10 REFRESH REINDEX RELEASE RESET REVOKE ROLLBACK SAVEPOINT SECURITY SELECT SET SHOW START TRUNCATE UNLISTEN|10 UPDATE VACUUM|10 VALUES AGGREGATE COLLATION CONVERSION|10 DATABASE DEFAULT PRIVILEGES DOMAIN TRIGGER EXTENSION FOREIGN WRAPPER|10 TABLE FUNCTION GROUP LANGUAGE LARGE OBJECT MATERIALIZED VIEW OPERATOR CLASS FAMILY POLICY PUBLICATION|10 ROLE RULE SCHEMA SEQUENCE SERVER STATISTICS SUBSCRIPTION SYSTEM TABLESPACE CONFIGURATION DICTIONARY PARSER TEMPLATE TYPE USER MAPPING PREPARED ACCESS METHOD CAST AS TRANSFORM TRANSACTION OWNED TO INTO SESSION AUTHORIZATION INDEX PROCEDURE ASSERTION ALL ANALYSE AND ANY ARRAY ASC ASYMMETRIC|10 BOTH CASE CHECK COLLATE COLUMN CONCURRENTLY|10 CONSTRAINT CROSS DEFERRABLE RANGE DESC DISTINCT ELSE EXCEPT FOR FREEZE|10 FROM FULL HAVING ILIKE IN INITIALLY INNER INTERSECT IS ISNULL JOIN LATERAL LEADING LIKE LIMIT NATURAL NOT NOTNULL NULL OFFSET ON ONLY OR ORDER OUTER OVERLAPS PLACING PRIMARY REFERENCES RETURNING SIMILAR SOME SYMMETRIC TABLESAMPLE THEN TRAILING UNION UNIQUE USING VARIADIC|10 VERBOSE WHEN WHERE WINDOW WITH BY RETURNS INOUT OUT SETOF|10 IF STRICT CURRENT CONTINUE OWNER LOCATION OVER PARTITION WITHIN BETWEEN ESCAPE EXTERNAL INVOKER DEFINER WORK RENAME VERSION CONNECTION CONNECT TABLES TEMP TEMPORARY FUNCTIONS SEQUENCES TYPES SCHEMAS OPTION CASCADE RESTRICT ADD ADMIN EXISTS VALID VALIDATE ENABLE DISABLE REPLICA|10 ALWAYS PASSING COLUMNS PATH REF VALUE OVERRIDING IMMUTABLE STABLE VOLATILE BEFORE AFTER EACH ROW PROCEDURAL ROUTINE NO HANDLER VALIDATOR OPTIONS STORAGE OIDS|10 WITHOUT INHERIT DEPENDS CALLED INPUT LEAKPROOF|10 COST ROWS NOWAIT SEARCH UNTIL ENCRYPTED|10 PASSWORD CONFLICT|10 INSTEAD INHERITS CHARACTERISTICS WRITE CURSOR ALSO STATEMENT SHARE EXCLUSIVE INLINE ISOLATION REPEATABLE READ COMMITTED SERIALIZABLE UNCOMMITTED LOCAL GLOBAL SQL PROCEDURES RECURSIVE SNAPSHOT ROLLUP CUBE TRUSTED|10 INCLUDE FOLLOWING PRECEDING UNBOUNDED RANGE GROUPS UNENCRYPTED|10 SYSID FORMAT DELIMITER HEADER QUOTE ENCODING FILTER OFF FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING RESTART CYCLE GENERATED IDENTITY DEFERRED IMMEDIATE LEVEL LOGGED UNLOGGED OF NOTHING NONE EXCLUDE ATTRIBUTE USAGE ROUTINES TRUE FALSE NAN INFINITY ",u="SUPERUSER NOSUPERUSER CREATEDB NOCREATEDB CREATEROLE NOCREATEROLE INHERIT NOINHERIT LOGIN NOLOGIN REPLICATION NOREPLICATION BYPASSRLS NOBYPASSRLS ",c="ALIAS BEGIN CONSTANT DECLARE END EXCEPTION RETURN PERFORM|10 RAISE GET DIAGNOSTICS STACKED|10 FOREACH LOOP ELSIF EXIT WHILE REVERSE SLICE DEBUG LOG INFO NOTICE WARNING ASSERT OPEN ",d="BIGINT INT8 BIGSERIAL SERIAL8 BIT VARYING VARBIT BOOLEAN BOOL BOX BYTEA CHARACTER CHAR VARCHAR CIDR CIRCLE DATE DOUBLE PRECISION FLOAT8 FLOAT INET INTEGER INT INT4 INTERVAL JSON JSONB LINE LSEG|10 MACADDR MACADDR8 MONEY NUMERIC DEC DECIMAL PATH POINT POLYGON REAL FLOAT4 SMALLINT INT2 SMALLSERIAL|10 SERIAL2|10 SERIAL|10 SERIAL4|10 TEXT TIME ZONE TIMETZ|10 TIMESTAMP TIMESTAMPTZ|10 TSQUERY|10 TSVECTOR|10 TXID_SNAPSHOT|10 UUID XML NATIONAL NCHAR INT4RANGE|10 INT8RANGE|10 NUMRANGE|10 TSRANGE|10 TSTZRANGE|10 DATERANGE|10 ANYELEMENT ANYARRAY ANYNONARRAY ANYENUM ANYRANGE CSTRING INTERNAL RECORD PG_DDL_COMMAND VOID UNKNOWN OPAQUE REFCURSOR NAME OID REGPROC|10 REGPROCEDURE|10 REGOPER|10 REGOPERATOR|10 REGCLASS|10 REGTYPE|10 REGROLE|10 REGNAMESPACE|10 REGCONFIG|10 REGDICTIONARY|10 ",g=d.trim().split(" ").map(function(b){return b.split("|")[0]}).join("|"),f="CURRENT_TIME CURRENT_TIMESTAMP CURRENT_USER CURRENT_CATALOG|10 CURRENT_DATE LOCALTIME LOCALTIMESTAMP CURRENT_ROLE|10 CURRENT_SCHEMA|10 SESSION_USER PUBLIC ",h="FOUND NEW OLD TG_NAME|10 TG_WHEN|10 TG_LEVEL|10 TG_OP|10 TG_RELID|10 TG_RELNAME|10 TG_TABLE_NAME|10 TG_TABLE_SCHEMA|10 TG_NARGS|10 TG_ARGV|10 TG_EVENT|10 TG_TAG|10 ROW_COUNT RESULT_OID|10 PG_CONTEXT|10 RETURNED_SQLSTATE COLUMN_NAME CONSTRAINT_NAME PG_DATATYPE_NAME|10 MESSAGE_TEXT TABLE_NAME SCHEMA_NAME PG_EXCEPTION_DETAIL|10 PG_EXCEPTION_HINT|10 PG_EXCEPTION_CONTEXT|10 ",p="SQLSTATE SQLERRM|10 SUCCESSFUL_COMPLETION WARNING DYNAMIC_RESULT_SETS_RETURNED IMPLICIT_ZERO_BIT_PADDING NULL_VALUE_ELIMINATED_IN_SET_FUNCTION PRIVILEGE_NOT_GRANTED PRIVILEGE_NOT_REVOKED STRING_DATA_RIGHT_TRUNCATION DEPRECATED_FEATURE NO_DATA NO_ADDITIONAL_DYNAMIC_RESULT_SETS_RETURNED SQL_STATEMENT_NOT_YET_COMPLETE CONNECTION_EXCEPTION CONNECTION_DOES_NOT_EXIST CONNECTION_FAILURE SQLCLIENT_UNABLE_TO_ESTABLISH_SQLCONNECTION SQLSERVER_REJECTED_ESTABLISHMENT_OF_SQLCONNECTION TRANSACTION_RESOLUTION_UNKNOWN PROTOCOL_VIOLATION TRIGGERED_ACTION_EXCEPTION FEATURE_NOT_SUPPORTED INVALID_TRANSACTION_INITIATION LOCATOR_EXCEPTION INVALID_LOCATOR_SPECIFICATION INVALID_GRANTOR INVALID_GRANT_OPERATION INVALID_ROLE_SPECIFICATION DIAGNOSTICS_EXCEPTION STACKED_DIAGNOSTICS_ACCESSED_WITHOUT_ACTIVE_HANDLER CASE_NOT_FOUND CARDINALITY_VIOLATION DATA_EXCEPTION ARRAY_SUBSCRIPT_ERROR CHARACTER_NOT_IN_REPERTOIRE DATETIME_FIELD_OVERFLOW DIVISION_BY_ZERO ERROR_IN_ASSIGNMENT ESCAPE_CHARACTER_CONFLICT INDICATOR_OVERFLOW INTERVAL_FIELD_OVERFLOW INVALID_ARGUMENT_FOR_LOGARITHM INVALID_ARGUMENT_FOR_NTILE_FUNCTION INVALID_ARGUMENT_FOR_NTH_VALUE_FUNCTION INVALID_ARGUMENT_FOR_POWER_FUNCTION INVALID_ARGUMENT_FOR_WIDTH_BUCKET_FUNCTION INVALID_CHARACTER_VALUE_FOR_CAST INVALID_DATETIME_FORMAT INVALID_ESCAPE_CHARACTER INVALID_ESCAPE_OCTET INVALID_ESCAPE_SEQUENCE NONSTANDARD_USE_OF_ESCAPE_CHARACTER INVALID_INDICATOR_PARAMETER_VALUE INVALID_PARAMETER_VALUE INVALID_REGULAR_EXPRESSION INVALID_ROW_COUNT_IN_LIMIT_CLAUSE INVALID_ROW_COUNT_IN_RESULT_OFFSET_CLAUSE INVALID_TABLESAMPLE_ARGUMENT INVALID_TABLESAMPLE_REPEAT INVALID_TIME_ZONE_DISPLACEMENT_VALUE INVALID_USE_OF_ESCAPE_CHARACTER MOST_SPECIFIC_TYPE_MISMATCH NULL_VALUE_NOT_ALLOWED NULL_VALUE_NO_INDICATOR_PARAMETER NUMERIC_VALUE_OUT_OF_RANGE SEQUENCE_GENERATOR_LIMIT_EXCEEDED STRING_DATA_LENGTH_MISMATCH STRING_DATA_RIGHT_TRUNCATION SUBSTRING_ERROR TRIM_ERROR UNTERMINATED_C_STRING ZERO_LENGTH_CHARACTER_STRING FLOATING_POINT_EXCEPTION INVALID_TEXT_REPRESENTATION INVALID_BINARY_REPRESENTATION BAD_COPY_FILE_FORMAT UNTRANSLATABLE_CHARACTER NOT_AN_XML_DOCUMENT INVALID_XML_DOCUMENT INVALID_XML_CONTENT INVALID_XML_COMMENT INVALID_XML_PROCESSING_INSTRUCTION INTEGRITY_CONSTRAINT_VIOLATION RESTRICT_VIOLATION NOT_NULL_VIOLATION FOREIGN_KEY_VIOLATION UNIQUE_VIOLATION CHECK_VIOLATION EXCLUSION_VIOLATION INVALID_CURSOR_STATE INVALID_TRANSACTION_STATE ACTIVE_SQL_TRANSACTION BRANCH_TRANSACTION_ALREADY_ACTIVE HELD_CURSOR_REQUIRES_SAME_ISOLATION_LEVEL INAPPROPRIATE_ACCESS_MODE_FOR_BRANCH_TRANSACTION INAPPROPRIATE_ISOLATION_LEVEL_FOR_BRANCH_TRANSACTION NO_ACTIVE_SQL_TRANSACTION_FOR_BRANCH_TRANSACTION READ_ONLY_SQL_TRANSACTION SCHEMA_AND_DATA_STATEMENT_MIXING_NOT_SUPPORTED NO_ACTIVE_SQL_TRANSACTION IN_FAILED_SQL_TRANSACTION IDLE_IN_TRANSACTION_SESSION_TIMEOUT INVALID_SQL_STATEMENT_NAME TRIGGERED_DATA_CHANGE_VIOLATION INVALID_AUTHORIZATION_SPECIFICATION INVALID_PASSWORD DEPENDENT_PRIVILEGE_DESCRIPTORS_STILL_EXIST DEPENDENT_OBJECTS_STILL_EXIST INVALID_TRANSACTION_TERMINATION SQL_ROUTINE_EXCEPTION FUNCTION_EXECUTED_NO_RETURN_STATEMENT MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED INVALID_CURSOR_NAME EXTERNAL_ROUTINE_EXCEPTION CONTAINING_SQL_NOT_PERMITTED MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED EXTERNAL_ROUTINE_INVOCATION_EXCEPTION INVALID_SQLSTATE_RETURNED NULL_VALUE_NOT_ALLOWED TRIGGER_PROTOCOL_VIOLATED SRF_PROTOCOL_VIOLATED EVENT_TRIGGER_PROTOCOL_VIOLATED SAVEPOINT_EXCEPTION INVALID_SAVEPOINT_SPECIFICATION INVALID_CATALOG_NAME INVALID_SCHEMA_NAME TRANSACTION_ROLLBACK TRANSACTION_INTEGRITY_CONSTRAINT_VIOLATION SERIALIZATION_FAILURE STATEMENT_COMPLETION_UNKNOWN DEADLOCK_DETECTED SYNTAX_ERROR_OR_ACCESS_RULE_VIOLATION SYNTAX_ERROR INSUFFICIENT_PRIVILEGE CANNOT_COERCE GROUPING_ERROR WINDOWING_ERROR INVALID_RECURSION INVALID_FOREIGN_KEY INVALID_NAME NAME_TOO_LONG RESERVED_NAME DATATYPE_MISMATCH INDETERMINATE_DATATYPE COLLATION_MISMATCH INDETERMINATE_COLLATION WRONG_OBJECT_TYPE GENERATED_ALWAYS UNDEFINED_COLUMN UNDEFINED_FUNCTION UNDEFINED_TABLE UNDEFINED_PARAMETER UNDEFINED_OBJECT DUPLICATE_COLUMN DUPLICATE_CURSOR DUPLICATE_DATABASE DUPLICATE_FUNCTION DUPLICATE_PREPARED_STATEMENT DUPLICATE_SCHEMA DUPLICATE_TABLE DUPLICATE_ALIAS DUPLICATE_OBJECT AMBIGUOUS_COLUMN AMBIGUOUS_FUNCTION AMBIGUOUS_PARAMETER AMBIGUOUS_ALIAS INVALID_COLUMN_REFERENCE INVALID_COLUMN_DEFINITION INVALID_CURSOR_DEFINITION INVALID_DATABASE_DEFINITION INVALID_FUNCTION_DEFINITION INVALID_PREPARED_STATEMENT_DEFINITION INVALID_SCHEMA_DEFINITION INVALID_TABLE_DEFINITION INVALID_OBJECT_DEFINITION WITH_CHECK_OPTION_VIOLATION INSUFFICIENT_RESOURCES DISK_FULL OUT_OF_MEMORY TOO_MANY_CONNECTIONS CONFIGURATION_LIMIT_EXCEEDED PROGRAM_LIMIT_EXCEEDED STATEMENT_TOO_COMPLEX TOO_MANY_COLUMNS TOO_MANY_ARGUMENTS OBJECT_NOT_IN_PREREQUISITE_STATE OBJECT_IN_USE CANT_CHANGE_RUNTIME_PARAM LOCK_NOT_AVAILABLE OPERATOR_INTERVENTION QUERY_CANCELED ADMIN_SHUTDOWN CRASH_SHUTDOWN CANNOT_CONNECT_NOW DATABASE_DROPPED SYSTEM_ERROR IO_ERROR UNDEFINED_FILE DUPLICATE_FILE SNAPSHOT_TOO_OLD CONFIG_FILE_ERROR LOCK_FILE_EXISTS FDW_ERROR FDW_COLUMN_NAME_NOT_FOUND FDW_DYNAMIC_PARAMETER_VALUE_NEEDED FDW_FUNCTION_SEQUENCE_ERROR FDW_INCONSISTENT_DESCRIPTOR_INFORMATION FDW_INVALID_ATTRIBUTE_VALUE FDW_INVALID_COLUMN_NAME FDW_INVALID_COLUMN_NUMBER FDW_INVALID_DATA_TYPE FDW_INVALID_DATA_TYPE_DESCRIPTORS FDW_INVALID_DESCRIPTOR_FIELD_IDENTIFIER FDW_INVALID_HANDLE FDW_INVALID_OPTION_INDEX FDW_INVALID_OPTION_NAME FDW_INVALID_STRING_LENGTH_OR_BUFFER_LENGTH FDW_INVALID_STRING_FORMAT FDW_INVALID_USE_OF_NULL_POINTER FDW_TOO_MANY_HANDLES FDW_OUT_OF_MEMORY FDW_NO_SCHEMAS FDW_OPTION_NAME_NOT_FOUND FDW_REPLY_HANDLE FDW_SCHEMA_NOT_FOUND FDW_TABLE_NOT_FOUND FDW_UNABLE_TO_CREATE_EXECUTION FDW_UNABLE_TO_CREATE_REPLY FDW_UNABLE_TO_ESTABLISH_CONNECTION PLPGSQL_ERROR RAISE_EXCEPTION NO_DATA_FOUND TOO_MANY_ROWS ASSERT_FAILURE INTERNAL_ERROR DATA_CORRUPTED INDEX_CORRUPTED ",y="ARRAY_AGG AVG BIT_AND BIT_OR BOOL_AND BOOL_OR COUNT EVERY JSON_AGG JSONB_AGG JSON_OBJECT_AGG JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG CORR COVAR_POP COVAR_SAMP REGR_AVGX REGR_AVGY REGR_COUNT REGR_INTERCEPT REGR_R2 REGR_SLOPE REGR_SXX REGR_SXY REGR_SYY STDDEV STDDEV_POP STDDEV_SAMP VARIANCE VAR_POP VAR_SAMP PERCENTILE_CONT PERCENTILE_DISC ROW_NUMBER RANK DENSE_RANK PERCENT_RANK CUME_DIST NTILE LAG LEAD FIRST_VALUE LAST_VALUE NTH_VALUE NUM_NONNULLS NUM_NULLS ABS CBRT CEIL CEILING DEGREES DIV EXP FLOOR LN LOG MOD PI POWER RADIANS ROUND SCALE SIGN SQRT TRUNC WIDTH_BUCKET RANDOM SETSEED ACOS ACOSD ASIN ASIND ATAN ATAND ATAN2 ATAN2D COS COSD COT COTD SIN SIND TAN TAND BIT_LENGTH CHAR_LENGTH CHARACTER_LENGTH LOWER OCTET_LENGTH OVERLAY POSITION SUBSTRING TREAT TRIM UPPER ASCII BTRIM CHR CONCAT CONCAT_WS CONVERT CONVERT_FROM CONVERT_TO DECODE ENCODE INITCAP LEFT LENGTH LPAD LTRIM MD5 PARSE_IDENT PG_CLIENT_ENCODING QUOTE_IDENT|10 QUOTE_LITERAL|10 QUOTE_NULLABLE|10 REGEXP_MATCH REGEXP_MATCHES REGEXP_REPLACE REGEXP_SPLIT_TO_ARRAY REGEXP_SPLIT_TO_TABLE REPEAT REPLACE REVERSE RIGHT RPAD RTRIM SPLIT_PART STRPOS SUBSTR TO_ASCII TO_HEX TRANSLATE OCTET_LENGTH GET_BIT GET_BYTE SET_BIT SET_BYTE TO_CHAR TO_DATE TO_NUMBER TO_TIMESTAMP AGE CLOCK_TIMESTAMP|10 DATE_PART DATE_TRUNC ISFINITE JUSTIFY_DAYS JUSTIFY_HOURS JUSTIFY_INTERVAL MAKE_DATE MAKE_INTERVAL|10 MAKE_TIME MAKE_TIMESTAMP|10 MAKE_TIMESTAMPTZ|10 NOW STATEMENT_TIMESTAMP|10 TIMEOFDAY TRANSACTION_TIMESTAMP|10 ENUM_FIRST ENUM_LAST ENUM_RANGE AREA CENTER DIAMETER HEIGHT ISCLOSED ISOPEN NPOINTS PCLOSE POPEN RADIUS WIDTH BOX BOUND_BOX CIRCLE LINE LSEG PATH POLYGON ABBREV BROADCAST HOST HOSTMASK MASKLEN NETMASK NETWORK SET_MASKLEN TEXT INET_SAME_FAMILY INET_MERGE MACADDR8_SET7BIT ARRAY_TO_TSVECTOR GET_CURRENT_TS_CONFIG NUMNODE PLAINTO_TSQUERY PHRASETO_TSQUERY WEBSEARCH_TO_TSQUERY QUERYTREE SETWEIGHT STRIP TO_TSQUERY TO_TSVECTOR JSON_TO_TSVECTOR JSONB_TO_TSVECTOR TS_DELETE TS_FILTER TS_HEADLINE TS_RANK TS_RANK_CD TS_REWRITE TSQUERY_PHRASE TSVECTOR_TO_ARRAY TSVECTOR_UPDATE_TRIGGER TSVECTOR_UPDATE_TRIGGER_COLUMN XMLCOMMENT XMLCONCAT XMLELEMENT XMLFOREST XMLPI XMLROOT XMLEXISTS XML_IS_WELL_FORMED XML_IS_WELL_FORMED_DOCUMENT XML_IS_WELL_FORMED_CONTENT XPATH XPATH_EXISTS XMLTABLE XMLNAMESPACES TABLE_TO_XML TABLE_TO_XMLSCHEMA TABLE_TO_XML_AND_XMLSCHEMA QUERY_TO_XML QUERY_TO_XMLSCHEMA QUERY_TO_XML_AND_XMLSCHEMA CURSOR_TO_XML CURSOR_TO_XMLSCHEMA SCHEMA_TO_XML SCHEMA_TO_XMLSCHEMA SCHEMA_TO_XML_AND_XMLSCHEMA DATABASE_TO_XML DATABASE_TO_XMLSCHEMA DATABASE_TO_XML_AND_XMLSCHEMA XMLATTRIBUTES TO_JSON TO_JSONB ARRAY_TO_JSON ROW_TO_JSON JSON_BUILD_ARRAY JSONB_BUILD_ARRAY JSON_BUILD_OBJECT JSONB_BUILD_OBJECT JSON_OBJECT JSONB_OBJECT JSON_ARRAY_LENGTH JSONB_ARRAY_LENGTH JSON_EACH JSONB_EACH JSON_EACH_TEXT JSONB_EACH_TEXT JSON_EXTRACT_PATH JSONB_EXTRACT_PATH JSON_OBJECT_KEYS JSONB_OBJECT_KEYS JSON_POPULATE_RECORD JSONB_POPULATE_RECORD JSON_POPULATE_RECORDSET JSONB_POPULATE_RECORDSET JSON_ARRAY_ELEMENTS JSONB_ARRAY_ELEMENTS JSON_ARRAY_ELEMENTS_TEXT JSONB_ARRAY_ELEMENTS_TEXT JSON_TYPEOF JSONB_TYPEOF JSON_TO_RECORD JSONB_TO_RECORD JSON_TO_RECORDSET JSONB_TO_RECORDSET JSON_STRIP_NULLS JSONB_STRIP_NULLS JSONB_SET JSONB_INSERT JSONB_PRETTY CURRVAL LASTVAL NEXTVAL SETVAL COALESCE NULLIF GREATEST LEAST ARRAY_APPEND ARRAY_CAT ARRAY_NDIMS ARRAY_DIMS ARRAY_FILL ARRAY_LENGTH ARRAY_LOWER ARRAY_POSITION ARRAY_POSITIONS ARRAY_PREPEND ARRAY_REMOVE ARRAY_REPLACE ARRAY_TO_STRING ARRAY_UPPER CARDINALITY STRING_TO_ARRAY UNNEST ISEMPTY LOWER_INC UPPER_INC LOWER_INF UPPER_INF RANGE_MERGE GENERATE_SERIES GENERATE_SUBSCRIPTS CURRENT_DATABASE CURRENT_QUERY CURRENT_SCHEMA|10 CURRENT_SCHEMAS|10 INET_CLIENT_ADDR INET_CLIENT_PORT INET_SERVER_ADDR INET_SERVER_PORT ROW_SECURITY_ACTIVE FORMAT_TYPE TO_REGCLASS TO_REGPROC TO_REGPROCEDURE TO_REGOPER TO_REGOPERATOR TO_REGTYPE TO_REGNAMESPACE TO_REGROLE COL_DESCRIPTION OBJ_DESCRIPTION SHOBJ_DESCRIPTION TXID_CURRENT TXID_CURRENT_IF_ASSIGNED TXID_CURRENT_SNAPSHOT TXID_SNAPSHOT_XIP TXID_SNAPSHOT_XMAX TXID_SNAPSHOT_XMIN TXID_VISIBLE_IN_SNAPSHOT TXID_STATUS CURRENT_SETTING SET_CONFIG BRIN_SUMMARIZE_NEW_VALUES BRIN_SUMMARIZE_RANGE BRIN_DESUMMARIZE_RANGE GIN_CLEAN_PENDING_LIST SUPPRESS_REDUNDANT_UPDATES_TRIGGER LO_FROM_BYTEA LO_PUT LO_GET LO_CREAT LO_CREATE LO_UNLINK LO_IMPORT LO_EXPORT LOREAD LOWRITE GROUPING CAST ".trim().split(" ").map(function(b){return b.split("|")[0]}).join("|");return{name:"PostgreSQL",aliases:["postgres","postgresql"],supersetOf:"sql",case_insensitive:!0,keywords:{keyword:l+c+u,built_in:f+h+p},illegal:/:==|\W\s*\(\*|(^|\s)\$[a-z]|\{\{|[a-z]:\s*$|\.\.\.|TO:|DO:/,contains:[{className:"keyword",variants:[{begin:/\bTEXT\s*SEARCH\b/},{begin:/\b(PRIMARY|FOREIGN|FOR(\s+NO)?)\s+KEY\b/},{begin:/\bPARALLEL\s+(UNSAFE|RESTRICTED|SAFE)\b/},{begin:/\bSTORAGE\s+(PLAIN|EXTERNAL|EXTENDED|MAIN)\b/},{begin:/\bMATCH\s+(FULL|PARTIAL|SIMPLE)\b/},{begin:/\bNULLS\s+(FIRST|LAST)\b/},{begin:/\bEVENT\s+TRIGGER\b/},{begin:/\b(MAPPING|OR)\s+REPLACE\b/},{begin:/\b(FROM|TO)\s+(PROGRAM|STDIN|STDOUT)\b/},{begin:/\b(SHARE|EXCLUSIVE)\s+MODE\b/},{begin:/\b(LEFT|RIGHT)\s+(OUTER\s+)?JOIN\b/},{begin:/\b(FETCH|MOVE)\s+(NEXT|PRIOR|FIRST|LAST|ABSOLUTE|RELATIVE|FORWARD|BACKWARD)\b/},{begin:/\bPRESERVE\s+ROWS\b/},{begin:/\bDISCARD\s+PLANS\b/},{begin:/\bREFERENCING\s+(OLD|NEW)\b/},{begin:/\bSKIP\s+LOCKED\b/},{begin:/\bGROUPING\s+SETS\b/},{begin:/\b(BINARY|INSENSITIVE|SCROLL|NO\s+SCROLL)\s+(CURSOR|FOR)\b/},{begin:/\b(WITH|WITHOUT)\s+HOLD\b/},{begin:/\bWITH\s+(CASCADED|LOCAL)\s+CHECK\s+OPTION\b/},{begin:/\bEXCLUDE\s+(TIES|NO\s+OTHERS)\b/},{begin:/\bFORMAT\s+(TEXT|XML|JSON|YAML)\b/},{begin:/\bSET\s+((SESSION|LOCAL)\s+)?NAMES\b/},{begin:/\bIS\s+(NOT\s+)?UNKNOWN\b/},{begin:/\bSECURITY\s+LABEL\b/},{begin:/\bSTANDALONE\s+(YES|NO|NO\s+VALUE)\b/},{begin:/\bWITH\s+(NO\s+)?DATA\b/},{begin:/\b(FOREIGN|SET)\s+DATA\b/},{begin:/\bSET\s+(CATALOG|CONSTRAINTS)\b/},{begin:/\b(WITH|FOR)\s+ORDINALITY\b/},{begin:/\bIS\s+(NOT\s+)?DOCUMENT\b/},{begin:/\bXML\s+OPTION\s+(DOCUMENT|CONTENT)\b/},{begin:/\b(STRIP|PRESERVE)\s+WHITESPACE\b/},{begin:/\bNO\s+(ACTION|MAXVALUE|MINVALUE)\b/},{begin:/\bPARTITION\s+BY\s+(RANGE|LIST|HASH)\b/},{begin:/\bAT\s+TIME\s+ZONE\b/},{begin:/\bGRANTED\s+BY\b/},{begin:/\bRETURN\s+(QUERY|NEXT)\b/},{begin:/\b(ATTACH|DETACH)\s+PARTITION\b/},{begin:/\bFORCE\s+ROW\s+LEVEL\s+SECURITY\b/},{begin:/\b(INCLUDING|EXCLUDING)\s+(COMMENTS|CONSTRAINTS|DEFAULTS|IDENTITY|INDEXES|STATISTICS|STORAGE|ALL)\b/},{begin:/\bAS\s+(ASSIGNMENT|IMPLICIT|PERMISSIVE|RESTRICTIVE|ENUM|RANGE)\b/}]},{begin:/\b(FORMAT|FAMILY|VERSION)\s*\(/},{begin:/\bINCLUDE\s*\(/,keywords:"INCLUDE"},{begin:/\bRANGE(?!\s*(BETWEEN|UNBOUNDED|CURRENT|[-0-9]+))/},{begin:/\b(VERSION|OWNER|TEMPLATE|TABLESPACE|CONNECTION\s+LIMIT|PROCEDURE|RESTRICT|JOIN|PARSER|COPY|START|END|COLLATION|INPUT|ANALYZE|STORAGE|LIKE|DEFAULT|DELIMITER|ENCODING|COLUMN|CONSTRAINT|TABLE|SCHEMA)\s*=/},{begin:/\b(PG_\w+?|HAS_[A-Z_]+_PRIVILEGE)\b/,relevance:10},{begin:/\bEXTRACT\s*\(/,end:/\bFROM\b/,returnEnd:!0,keywords:{type:"CENTURY DAY DECADE DOW DOY EPOCH HOUR ISODOW ISOYEAR MICROSECONDS MILLENNIUM MILLISECONDS MINUTE MONTH QUARTER SECOND TIMEZONE TIMEZONE_HOUR TIMEZONE_MINUTE WEEK YEAR"}},{begin:/\b(XMLELEMENT|XMLPI)\s*\(\s*NAME/,keywords:{keyword:"NAME"}},{begin:/\b(XMLPARSE|XMLSERIALIZE)\s*\(\s*(DOCUMENT|CONTENT)/,keywords:{keyword:"DOCUMENT CONTENT"}},{beginKeywords:"CACHE INCREMENT MAXVALUE MINVALUE",end:e.C_NUMBER_RE,returnEnd:!0,keywords:"BY CACHE INCREMENT MAXVALUE MINVALUE"},{className:"type",begin:/\b(WITH|WITHOUT)\s+TIME\s+ZONE\b/},{className:"type",begin:/\bINTERVAL\s+(YEAR|MONTH|DAY|HOUR|MINUTE|SECOND)(\s+TO\s+(MONTH|HOUR|MINUTE|SECOND))?\b/},{begin:/\bRETURNS\s+(LANGUAGE_HANDLER|TRIGGER|EVENT_TRIGGER|FDW_HANDLER|INDEX_AM_HANDLER|TSM_HANDLER)\b/,keywords:{keyword:"RETURNS",type:"LANGUAGE_HANDLER TRIGGER EVENT_TRIGGER FDW_HANDLER INDEX_AM_HANDLER TSM_HANDLER"}},{begin:"\\b("+y+")\\s*\\("},{begin:"\\.("+g+")\\b"},{begin:"\\b("+g+")\\s+PATH\\b",keywords:{keyword:"PATH",type:d.replace("PATH ","")}},{className:"type",begin:"\\b("+g+")\\b"},{className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},{className:"string",begin:"(e|E|u&|U&)'",end:"'",contains:[{begin:"\\\\."}],relevance:10},e.END_SAME_AS_BEGIN({begin:r,end:r,contains:[{subLanguage:["pgsql","perl","python","tcl","r","lua","java","php","ruby","bash","scheme","xml","json"],endsWithParent:!0}]}),{begin:'"',end:'"',contains:[{begin:'""'}]},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,t,{className:"meta",variants:[{begin:"%(ROW)?TYPE",relevance:10},{begin:"\\$\\d+"},{begin:"^#\\w",end:"$"}]},{className:"symbol",begin:a,relevance:10}]}}var RQ=CQ;function OQ(e){const t=e.regex,n=/(?![A-Za-z0-9])(?![$])/,r=t.concat(/[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/,n),a=t.concat(/(\\?[A-Z][a-z0-9_\x7f-\xff]+|\\?[A-Z]+(?=[A-Z][a-z0-9_\x7f-\xff])){1,}/,n),l={scope:"variable",match:"\\$+"+r},u={scope:"meta",variants:[{begin:/<\?php/,relevance:10},{begin:/<\?=/},{begin:/<\?/,relevance:.1},{begin:/\?>/}]},c={scope:"subst",variants:[{begin:/\$\w+/},{begin:/\{\$/,end:/\}/}]},d=e.inherit(e.APOS_STRING_MODE,{illegal:null}),g=e.inherit(e.QUOTE_STRING_MODE,{illegal:null,contains:e.QUOTE_STRING_MODE.contains.concat(c)}),f={begin:/<<<[ \t]*(?:(\w+)|"(\w+)")\n/,end:/[ \t]*(\w+)\b/,contains:e.QUOTE_STRING_MODE.contains.concat(c),"on:begin":(M,x)=>{x.data._beginMatch=M[1]||M[2]},"on:end":(M,x)=>{x.data._beginMatch!==M[1]&&x.ignoreMatch()}},h=e.END_SAME_AS_BEGIN({begin:/<<<[ \t]*'(\w+)'\n/,end:/[ \t]*(\w+)\b/}),p=`[ ]`,m={scope:"string",variants:[g,d,f,h]},y={scope:"number",variants:[{begin:"\\b0[bB][01]+(?:_[01]+)*\\b"},{begin:"\\b0[oO][0-7]+(?:_[0-7]+)*\\b"},{begin:"\\b0[xX][\\da-fA-F]+(?:_[\\da-fA-F]+)*\\b"},{begin:"(?:\\b\\d+(?:_\\d+)*(\\.(?:\\d+(?:_\\d+)*))?|\\B\\.\\d+)(?:[eE][+-]?\\d+)?"}],relevance:0},b=["false","null","true"],C=["__CLASS__","__DIR__","__FILE__","__FUNCTION__","__COMPILER_HALT_OFFSET__","__LINE__","__METHOD__","__NAMESPACE__","__TRAIT__","die","echo","exit","include","include_once","print","require","require_once","array","abstract","and","as","binary","bool","boolean","break","callable","case","catch","class","clone","const","continue","declare","default","do","double","else","elseif","empty","enddeclare","endfor","endforeach","endif","endswitch","endwhile","enum","eval","extends","final","finally","float","for","foreach","from","global","goto","if","implements","instanceof","insteadof","int","integer","interface","isset","iterable","list","match|0","mixed","new","never","object","or","private","protected","public","readonly","real","return","string","switch","throw","trait","try","unset","use","var","void","while","xor","yield"],E=["Error|0","AppendIterator","ArgumentCountError","ArithmeticError","ArrayIterator","ArrayObject","AssertionError","BadFunctionCallException","BadMethodCallException","CachingIterator","CallbackFilterIterator","CompileError","Countable","DirectoryIterator","DivisionByZeroError","DomainException","EmptyIterator","ErrorException","Exception","FilesystemIterator","FilterIterator","GlobIterator","InfiniteIterator","InvalidArgumentException","IteratorIterator","LengthException","LimitIterator","LogicException","MultipleIterator","NoRewindIterator","OutOfBoundsException","OutOfRangeException","OuterIterator","OverflowException","ParentIterator","ParseError","RangeException","RecursiveArrayIterator","RecursiveCachingIterator","RecursiveCallbackFilterIterator","RecursiveDirectoryIterator","RecursiveFilterIterator","RecursiveIterator","RecursiveIteratorIterator","RecursiveRegexIterator","RecursiveTreeIterator","RegexIterator","RuntimeException","SeekableIterator","SplDoublyLinkedList","SplFileInfo","SplFileObject","SplFixedArray","SplHeap","SplMaxHeap","SplMinHeap","SplObjectStorage","SplObserver","SplPriorityQueue","SplQueue","SplStack","SplSubject","SplTempFileObject","TypeError","UnderflowException","UnexpectedValueException","UnhandledMatchError","ArrayAccess","BackedEnum","Closure","Fiber","Generator","Iterator","IteratorAggregate","Serializable","Stringable","Throwable","Traversable","UnitEnum","WeakReference","WeakMap","Directory","__PHP_Incomplete_Class","parent","php_user_filter","self","static","stdClass"],O={keyword:C,literal:(M=>{const x=[];return M.forEach(Y=>{x.push(Y),Y.toLowerCase()===Y?x.push(Y.toUpperCase()):x.push(Y.toLowerCase())}),x})(b),built_in:E},S=M=>M.map(x=>x.replace(/\|\d+$/,"")),A={variants:[{match:[/new/,t.concat(p,"+"),t.concat("(?!",S(E).join("\\b|"),"\\b)"),a],scope:{1:"keyword",4:"title.class"}}]},P=t.concat(r,"\\b(?!\\()"),F={variants:[{match:[t.concat(/::/,t.lookahead(/(?!class\b)/)),P],scope:{2:"variable.constant"}},{match:[/::/,/class/],scope:{2:"variable.language"}},{match:[a,t.concat(/::/,t.lookahead(/(?!class\b)/)),P],scope:{1:"title.class",3:"variable.constant"}},{match:[a,t.concat("::",t.lookahead(/(?!class\b)/))],scope:{1:"title.class"}},{match:[a,/::/,/class/],scope:{1:"title.class",3:"variable.language"}}]},G={scope:"attr",match:t.concat(r,t.lookahead(":"),t.lookahead(/(?!::)/))},z={relevance:0,begin:/\(/,end:/\)/,keywords:O,contains:[G,l,F,e.C_BLOCK_COMMENT_MODE,m,y,A]},k={relevance:0,match:[/\b/,t.concat("(?!fn\\b|function\\b|",S(C).join("\\b|"),"|",S(E).join("\\b|"),"\\b)"),r,t.concat(p,"*"),t.lookahead(/(?=\()/)],scope:{3:"title.function.invoke"},contains:[z]};z.contains.push(k);const L=[G,F,e.C_BLOCK_COMMENT_MODE,m,y,A],w={begin:t.concat(/#\[\s*/,a),beginScope:"meta",end:/]/,endScope:"meta",keywords:{literal:b,keyword:["new","array"]},contains:[{begin:/\[/,end:/]/,keywords:{literal:b,keyword:["new","array"]},contains:["self",...L]},...L,{scope:"meta",match:a}]};return{case_insensitive:!1,keywords:O,contains:[w,e.HASH_COMMENT_MODE,e.COMMENT("//","$"),e.COMMENT("/\\*","\\*/",{contains:[{scope:"doctag",match:"@[A-Za-z]+"}]}),{match:/__halt_compiler\(\);/,keywords:"__halt_compiler",starts:{scope:"comment",end:e.MATCH_NOTHING_RE,contains:[{match:/\?>/,scope:"meta",endsParent:!0}]}},u,{scope:"variable.language",match:/\$this\b/},l,k,F,{match:[/const/,/\s/,r],scope:{1:"keyword",3:"variable.constant"}},A,{scope:"function",relevance:0,beginKeywords:"fn function",end:/[;{]/,excludeEnd:!0,illegal:"[$%\\[]",contains:[{beginKeywords:"use"},e.UNDERSCORE_TITLE_MODE,{begin:"=>",endsParent:!0},{scope:"params",begin:"\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0,keywords:O,contains:["self",l,F,e.C_BLOCK_COMMENT_MODE,m,y]}]},{scope:"class",variants:[{beginKeywords:"enum",illegal:/[($"]/},{beginKeywords:"class interface trait",illegal:/[:($"]/}],relevance:0,end:/\{/,excludeEnd:!0,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"namespace",relevance:0,end:";",illegal:/[.']/,contains:[e.inherit(e.UNDERSCORE_TITLE_MODE,{scope:"title.class"})]},{beginKeywords:"use",relevance:0,end:";",contains:[{match:/\b(as|const|function)\b/,scope:"keyword"},e.UNDERSCORE_TITLE_MODE]},m,y]}}var NQ=OQ;function AQ(e){return{name:"PHP template",subLanguage:"xml",contains:[{begin:/<\?(php|=)?/,end:/\?>/,subLanguage:"php",contains:[{begin:"/\\*",end:"\\*/",skip:!0},{begin:'b"',end:'"',skip:!0},{begin:"b'",end:"'",skip:!0},e.inherit(e.APOS_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0})]}]}}var IQ=AQ;function DQ(e){return{name:"Plain text",aliases:["text","txt"],disableAutodetect:!0}}var xQ=DQ;function wQ(e){const t={keyword:"actor addressof and as be break class compile_error compile_intrinsic consume continue delegate digestof do else elseif embed end error for fun if ifdef in interface is isnt lambda let match new not object or primitive recover repeat return struct then trait try type until use var where while with xor",meta:"iso val tag trn box ref",literal:"this false true"},n={className:"string",begin:'"""',end:'"""',relevance:10},r={className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE]},a={className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE],relevance:0},l={className:"type",begin:"\\b_?[A-Z][\\w]*",relevance:0},u={begin:e.IDENT_RE+"'",relevance:0};return{name:"Pony",keywords:t,contains:[l,n,r,a,u,{className:"number",begin:"(-?)(\\b0[xX][a-fA-F0-9]+|\\b0[bB][01]+|(\\b\\d+(_\\d+)?(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",relevance:0},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}var MQ=wQ;function LQ(e){const t=["string","char","byte","int","long","bool","decimal","single","double","DateTime","xml","array","hashtable","void"],n="Add|Clear|Close|Copy|Enter|Exit|Find|Format|Get|Hide|Join|Lock|Move|New|Open|Optimize|Pop|Push|Redo|Remove|Rename|Reset|Resize|Search|Select|Set|Show|Skip|Split|Step|Switch|Undo|Unlock|Watch|Backup|Checkpoint|Compare|Compress|Convert|ConvertFrom|ConvertTo|Dismount|Edit|Expand|Export|Group|Import|Initialize|Limit|Merge|Mount|Out|Publish|Restore|Save|Sync|Unpublish|Update|Approve|Assert|Build|Complete|Confirm|Deny|Deploy|Disable|Enable|Install|Invoke|Register|Request|Restart|Resume|Start|Stop|Submit|Suspend|Uninstall|Unregister|Wait|Debug|Measure|Ping|Repair|Resolve|Test|Trace|Connect|Disconnect|Read|Receive|Send|Write|Block|Grant|Protect|Revoke|Unblock|Unprotect|Use|ForEach|Sort|Tee|Where",r="-and|-as|-band|-bnot|-bor|-bxor|-casesensitive|-ccontains|-ceq|-cge|-cgt|-cle|-clike|-clt|-cmatch|-cne|-cnotcontains|-cnotlike|-cnotmatch|-contains|-creplace|-csplit|-eq|-exact|-f|-file|-ge|-gt|-icontains|-ieq|-ige|-igt|-ile|-ilike|-ilt|-imatch|-in|-ine|-inotcontains|-inotlike|-inotmatch|-ireplace|-is|-isnot|-isplit|-join|-le|-like|-lt|-match|-ne|-not|-notcontains|-notin|-notlike|-notmatch|-or|-regex|-replace|-shl|-shr|-split|-wildcard|-xor",a={$pattern:/-?[A-z\.\-]+\b/,keyword:"if else foreach return do while until elseif begin for trap data dynamicparam end break throw param continue finally in switch exit filter try process catch hidden static parameter",built_in:"ac asnp cat cd CFS chdir clc clear clhy cli clp cls clv cnsn compare copy cp cpi cpp curl cvpa dbp del diff dir dnsn ebp echo|0 epal epcsv epsn erase etsn exsn fc fhx fl ft fw gal gbp gc gcb gci gcm gcs gdr gerr ghy gi gin gjb gl gm gmo gp gps gpv group gsn gsnp gsv gtz gu gv gwmi h history icm iex ihy ii ipal ipcsv ipmo ipsn irm ise iwmi iwr kill lp ls man md measure mi mount move mp mv nal ndr ni nmo npssc nsn nv ogv oh popd ps pushd pwd r rbp rcjb rcsn rd rdr ren ri rjb rm rmdir rmo rni rnp rp rsn rsnp rujb rv rvpa rwmi sajb sal saps sasv sbp sc scb select set shcm si sl sleep sls sort sp spjb spps spsv start stz sujb sv swmi tee trcm type wget where wjb write"},l=/\w[\w\d]*((-)[\w\d]+)*/,u={begin:"`[\\s\\S]",relevance:0},c={className:"variable",variants:[{begin:/\$\B/},{className:"keyword",begin:/\$this/},{begin:/\$[\w\d][\w\d_:]*/}]},d={className:"literal",begin:/\$(null|true|false)\b/},g={className:"string",variants:[{begin:/"/,end:/"/},{begin:/@"/,end:/^"@/}],contains:[u,c,{className:"variable",begin:/\$[A-z]/,end:/[^A-z]/}]},f={className:"string",variants:[{begin:/'/,end:/'/},{begin:/@'/,end:/^'@/}]},h={className:"doctag",variants:[{begin:/\.(synopsis|description|example|inputs|outputs|notes|link|component|role|functionality)/},{begin:/\.(parameter|forwardhelptargetname|forwardhelpcategory|remotehelprunspace|externalhelp)\s+\S+/}]},p=e.inherit(e.COMMENT(null,null),{variants:[{begin:/#/,end:/$/},{begin:/<#/,end:/#>/}],contains:[h]}),m={className:"built_in",variants:[{begin:"(".concat(n,")+(-)[\\w\\d]+")}]},y={className:"class",beginKeywords:"class enum",end:/\s*[{]/,excludeEnd:!0,relevance:0,contains:[e.TITLE_MODE]},b={className:"function",begin:/function\s+/,end:/\s*\{|$/,excludeEnd:!0,returnBegin:!0,relevance:0,contains:[{begin:"function",relevance:0,className:"keyword"},{className:"title",begin:l,relevance:0},{begin:/\(/,end:/\)/,className:"params",relevance:0,contains:[c]}]},C={begin:/using\s/,end:/$/,returnBegin:!0,contains:[g,f,{className:"keyword",begin:/(using|assembly|command|module|namespace|type)/}]},E={variants:[{className:"operator",begin:"(".concat(r,")\\b")},{className:"literal",begin:/(-){1,2}[\w\d-]+/,relevance:0}]},v={className:"selector-tag",begin:/@\B/,relevance:0},O={className:"function",begin:/\[.*\]\s*[\w]+[ ]??\(/,end:/$/,returnBegin:!0,relevance:0,contains:[{className:"keyword",begin:"(".concat(a.keyword.toString().replace(/\s/g,"|"),")\\b"),endsParent:!0,relevance:0},e.inherit(e.TITLE_MODE,{endsParent:!0})]},S=[O,p,u,e.NUMBER_MODE,g,f,m,c,d,v],A={begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[].concat("self",S,{begin:"("+t.join("|")+")",className:"built_in",relevance:0},{className:"type",begin:/[\.\w\d]+/,relevance:0})};return O.contains.unshift(A),{name:"PowerShell",aliases:["pwsh","ps","ps1"],case_insensitive:!0,keywords:a,contains:S.concat(y,b,C,E,A)}}var kQ=LQ;function PQ(e){const t=e.regex,n=["displayHeight","displayWidth","mouseY","mouseX","mousePressed","pmouseX","pmouseY","key","keyCode","pixels","focused","frameCount","frameRate","height","width","size","createGraphics","beginDraw","createShape","loadShape","PShape","arc","ellipse","line","point","quad","rect","triangle","bezier","bezierDetail","bezierPoint","bezierTangent","curve","curveDetail","curvePoint","curveTangent","curveTightness","shape","shapeMode","beginContour","beginShape","bezierVertex","curveVertex","endContour","endShape","quadraticVertex","vertex","ellipseMode","noSmooth","rectMode","smooth","strokeCap","strokeJoin","strokeWeight","mouseClicked","mouseDragged","mouseMoved","mousePressed","mouseReleased","mouseWheel","keyPressed","keyPressedkeyReleased","keyTyped","print","println","save","saveFrame","day","hour","millis","minute","month","second","year","background","clear","colorMode","fill","noFill","noStroke","stroke","alpha","blue","brightness","color","green","hue","lerpColor","red","saturation","modelX","modelY","modelZ","screenX","screenY","screenZ","ambient","emissive","shininess","specular","add","createImage","beginCamera","camera","endCamera","frustum","ortho","perspective","printCamera","printProjection","cursor","frameRate","noCursor","exit","loop","noLoop","popStyle","pushStyle","redraw","binary","boolean","byte","char","float","hex","int","str","unbinary","unhex","join","match","matchAll","nf","nfc","nfp","nfs","split","splitTokens","trim","append","arrayCopy","concat","expand","reverse","shorten","sort","splice","subset","box","sphere","sphereDetail","createInput","createReader","loadBytes","loadJSONArray","loadJSONObject","loadStrings","loadTable","loadXML","open","parseXML","saveTable","selectFolder","selectInput","beginRaw","beginRecord","createOutput","createWriter","endRaw","endRecord","PrintWritersaveBytes","saveJSONArray","saveJSONObject","saveStream","saveStrings","saveXML","selectOutput","popMatrix","printMatrix","pushMatrix","resetMatrix","rotate","rotateX","rotateY","rotateZ","scale","shearX","shearY","translate","ambientLight","directionalLight","lightFalloff","lights","lightSpecular","noLights","normal","pointLight","spotLight","image","imageMode","loadImage","noTint","requestImage","tint","texture","textureMode","textureWrap","blend","copy","filter","get","loadPixels","set","updatePixels","blendMode","loadShader","PShaderresetShader","shader","createFont","loadFont","text","textFont","textAlign","textLeading","textMode","textSize","textWidth","textAscent","textDescent","abs","ceil","constrain","dist","exp","floor","lerp","log","mag","map","max","min","norm","pow","round","sq","sqrt","acos","asin","atan","atan2","cos","degrees","radians","sin","tan","noise","noiseDetail","noiseSeed","random","randomGaussian","randomSeed"],r=e.IDENT_RE,a={variants:[{match:t.concat(t.either(...n),t.lookahead(/\s*\(/)),className:"built_in"},{relevance:0,match:t.concat(/\b(?!for|if|while)/,r,t.lookahead(/\s*\(/)),className:"title.function"}]},l={match:[/new\s+/,r],className:{1:"keyword",2:"class.title"}},u={relevance:0,match:[/\./,r],className:{2:"property"}},c={variants:[{match:[/class/,/\s+/,r,/\s+/,/extends/,/\s+/,r]},{match:[/class/,/\s+/,r]}],className:{1:"keyword",3:"title.class",5:"keyword",7:"title.class.inherited"}},d=["boolean","byte","char","color","double","float","int","long","short"],g=["BufferedReader","PVector","PFont","PImage","PGraphics","HashMap","String","Array","FloatDict","ArrayList","FloatList","IntDict","IntList","JSONArray","JSONObject","Object","StringDict","StringList","Table","TableRow","XML"];return{name:"Processing",aliases:["pde"],keywords:{keyword:[...["abstract","assert","break","case","catch","const","continue","default","else","enum","final","finally","for","if","import","instanceof","long","native","new","package","private","private","protected","protected","public","public","return","static","strictfp","switch","synchronized","throw","throws","transient","try","void","volatile","while"]],literal:"P2D P3D HALF_PI PI QUARTER_PI TAU TWO_PI null true false",title:"setup draw",variable:"super this",built_in:[...n,...g],type:d},contains:[c,l,a,u,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}var BQ=PQ;function FQ(e){return{name:"Python profiler",contains:[e.C_NUMBER_MODE,{begin:"[a-zA-Z_][\\da-zA-Z_]+\\.[\\da-zA-Z_]{1,3}",end:":",excludeEnd:!0},{begin:"(ncalls|tottime|cumtime)",end:"$",keywords:"ncalls tottime|10 cumtime|10 filename",relevance:10},{begin:"function calls",end:"$",contains:[e.C_NUMBER_MODE],relevance:10},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"\\(",end:"\\)$",excludeBegin:!0,excludeEnd:!0,relevance:0}]}}var UQ=FQ;function GQ(e){const t={begin:/[a-z][A-Za-z0-9_]*/,relevance:0},n={className:"symbol",variants:[{begin:/[A-Z][a-zA-Z0-9_]*/},{begin:/_[A-Za-z0-9_]*/}],relevance:0},r={begin:/\(/,end:/\)/,relevance:0},a={begin:/\[/,end:/\]/},l={className:"comment",begin:/%/,end:/$/,contains:[e.PHRASAL_WORDS_MODE]},u={className:"string",begin:/`/,end:/`/,contains:[e.BACKSLASH_ESCAPE]},c={className:"string",begin:/0'(\\'|.)/},d={className:"string",begin:/0'\\s/},f=[t,n,r,{begin:/:-/},a,l,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,u,c,d,e.C_NUMBER_MODE];return r.contains=f,a.contains=f,{name:"Prolog",contains:f.concat([{begin:/\.$/}])}}var qQ=GQ;function YQ(e){const t="[ \\t\\f]*",n="[ \\t\\f]+",r=t+"[:=]"+t,a=n,l="("+r+"|"+a+")",u="([^\\\\:= \\t\\f\\n]|\\\\.)+",c={end:l,relevance:0,starts:{className:"string",end:/$/,relevance:0,contains:[{begin:"\\\\\\\\"},{begin:"\\\\\\n"}]}};return{name:".properties",disableAutodetect:!0,case_insensitive:!0,illegal:/\S/,contains:[e.COMMENT("^\\s*[!#]","$"),{returnBegin:!0,variants:[{begin:u+r},{begin:u+a}],contains:[{className:"attr",begin:u,endsParent:!0}],starts:c},{className:"attr",begin:u+t+"$"}]}}var zQ=YQ;function $Q(e){const t=["package","import","option","optional","required","repeated","group","oneof"],n=["double","float","int32","int64","uint32","uint64","sint32","sint64","fixed32","fixed64","sfixed32","sfixed64","bool","string","bytes"],r={match:[/(message|enum|service)\s+/,e.IDENT_RE],scope:{1:"keyword",2:"title.class"}};return{name:"Protocol Buffers",aliases:["proto"],keywords:{keyword:t,type:n,literal:["true","false"]},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,{className:"function",beginKeywords:"rpc",end:/[{;]/,excludeEnd:!0,keywords:"rpc returns"},{begin:/^\s*[A-Z_]+(?=\s*=[^\n]+;$)/}]}}var HQ=$Q;function VQ(e){const t={keyword:"and case default else elsif false if in import enherits node or true undef unless main settings $string ",literal:"alias audit before loglevel noop require subscribe tag owner ensure group mode name|0 changes context force incl lens load_path onlyif provider returns root show_diff type_check en_address ip_address realname command environment hour monute month monthday special target weekday creates cwd ogoutput refresh refreshonly tries try_sleep umask backup checksum content ctime force ignore links mtime purge recurse recurselimit replace selinux_ignore_defaults selrange selrole seltype seluser source souirce_permissions sourceselect validate_cmd validate_replacement allowdupe attribute_membership auth_membership forcelocal gid ia_load_module members system host_aliases ip allowed_trunk_vlans description device_url duplex encapsulation etherchannel native_vlan speed principals allow_root auth_class auth_type authenticate_user k_of_n mechanisms rule session_owner shared options device fstype enable hasrestart directory present absent link atboot blockdevice device dump pass remounts poller_tag use message withpath adminfile allow_virtual allowcdrom category configfiles flavor install_options instance package_settings platform responsefile status uninstall_options vendor unless_system_user unless_uid binary control flags hasstatus manifest pattern restart running start stop allowdupe auths expiry gid groups home iterations key_membership keys managehome membership password password_max_age password_min_age profile_membership profiles project purge_ssh_keys role_membership roles salt shell uid baseurl cost descr enabled enablegroups exclude failovermethod gpgcheck gpgkey http_caching include includepkgs keepalive metadata_expire metalink mirrorlist priority protect proxy proxy_password proxy_username repo_gpgcheck s3_enabled skip_if_unavailable sslcacert sslclientcert sslclientkey sslverify mounted",built_in:"architecture augeasversion blockdevices boardmanufacturer boardproductname boardserialnumber cfkey dhcp_servers domain ec2_ ec2_userdata facterversion filesystems ldom fqdn gid hardwareisa hardwaremodel hostname id|0 interfaces ipaddress ipaddress_ ipaddress6 ipaddress6_ iphostnumber is_virtual kernel kernelmajversion kernelrelease kernelversion kernelrelease kernelversion lsbdistcodename lsbdistdescription lsbdistid lsbdistrelease lsbmajdistrelease lsbminordistrelease lsbrelease macaddress macaddress_ macosx_buildversion macosx_productname macosx_productversion macosx_productverson_major macosx_productversion_minor manufacturer memoryfree memorysize netmask metmask_ network_ operatingsystem operatingsystemmajrelease operatingsystemrelease osfamily partitions path physicalprocessorcount processor processorcount productname ps puppetversion rubysitedir rubyversion selinux selinux_config_mode selinux_config_policy selinux_current_mode selinux_current_mode selinux_enforced selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime uptime_days uptime_hours uptime_seconds uuid virtual vlans xendomains zfs_version zonenae zones zpool_version"},n=e.COMMENT("#","$"),r="([A-Za-z_]|::)(\\w|::)*",a=e.inherit(e.TITLE_MODE,{begin:r}),l={className:"variable",begin:"\\$"+r},u={className:"string",contains:[e.BACKSLASH_ESCAPE,l],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/}]};return{name:"Puppet",aliases:["pp"],contains:[n,l,u,{beginKeywords:"class",end:"\\{|;",illegal:/=/,contains:[a,n]},{beginKeywords:"define",end:/\{/,contains:[{className:"section",begin:e.IDENT_RE,endsParent:!0}]},{begin:e.IDENT_RE+"\\s+\\{",returnBegin:!0,end:/\S/,contains:[{className:"keyword",begin:e.IDENT_RE,relevance:.2},{begin:/\{/,end:/\}/,keywords:t,relevance:0,contains:[u,n,{begin:"[a-zA-Z_]+\\s*=>",returnBegin:!0,end:"=>",contains:[{className:"attr",begin:e.IDENT_RE}]},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},l]}],relevance:0}]}}var WQ=VQ;function KQ(e){const t={className:"string",begin:'(~)?"',end:'"',illegal:"\\n"},n={className:"symbol",begin:"#[a-zA-Z_]\\w*\\$?"};return{name:"PureBASIC",aliases:["pb","pbi"],keywords:"Align And Array As Break CallDebugger Case CompilerCase CompilerDefault CompilerElse CompilerElseIf CompilerEndIf CompilerEndSelect CompilerError CompilerIf CompilerSelect CompilerWarning Continue Data DataSection Debug DebugLevel Declare DeclareC DeclareCDLL DeclareDLL DeclareModule Default Define Dim DisableASM DisableDebugger DisableExplicit Else ElseIf EnableASM EnableDebugger EnableExplicit End EndDataSection EndDeclareModule EndEnumeration EndIf EndImport EndInterface EndMacro EndModule EndProcedure EndSelect EndStructure EndStructureUnion EndWith Enumeration EnumerationBinary Extends FakeReturn For ForEach ForEver Global Gosub Goto If Import ImportC IncludeBinary IncludeFile IncludePath Interface List Macro MacroExpandedCount Map Module NewList NewMap Next Not Or Procedure ProcedureC ProcedureCDLL ProcedureDLL ProcedureReturn Protected Prototype PrototypeC ReDim Read Repeat Restore Return Runtime Select Shared Static Step Structure StructureUnion Swap Threaded To UndefineMacro Until Until UnuseModule UseModule Wend While With XIncludeFile XOr",contains:[e.COMMENT(";","$",{relevance:0}),{className:"function",begin:"\\b(Procedure|Declare)(C|CDLL|DLL)?\\b",end:"\\(",excludeEnd:!0,returnBegin:!0,contains:[{className:"keyword",begin:"(Procedure|Declare)(C|CDLL|DLL)?",excludeEnd:!0},{className:"type",begin:"\\.\\w*"},e.UNDERSCORE_TITLE_MODE]},t,n]}}var QQ=KQ;function jQ(e){const t=e.regex,n=/[\p{XID_Start}_]\p{XID_Continue}*/u,r=["and","as","assert","async","await","break","case","class","continue","def","del","elif","else","except","finally","for","from","global","if","import","in","is","lambda","match","nonlocal|10","not","or","pass","raise","return","try","while","with","yield"],c={$pattern:/[A-Za-z]\w+|__\w+__/,keyword:r,built_in:["__import__","abs","all","any","ascii","bin","bool","breakpoint","bytearray","bytes","callable","chr","classmethod","compile","complex","delattr","dict","dir","divmod","enumerate","eval","exec","filter","float","format","frozenset","getattr","globals","hasattr","hash","help","hex","id","input","int","isinstance","issubclass","iter","len","list","locals","map","max","memoryview","min","next","object","oct","open","ord","pow","print","property","range","repr","reversed","round","set","setattr","slice","sorted","staticmethod","str","sum","super","tuple","type","vars","zip"],literal:["__debug__","Ellipsis","False","None","NotImplemented","True"],type:["Any","Callable","Coroutine","Dict","List","Literal","Generic","Optional","Sequence","Set","Tuple","Type","Union"]},d={className:"meta",begin:/^(>>>|\.\.\.) /},g={className:"subst",begin:/\{/,end:/\}/,keywords:c,illegal:/#/},f={begin:/\{\{/,relevance:0},h={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/([uU]|[bB]|[rR]|[bB][rR]|[rR][bB])?'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE,d],relevance:10},{begin:/([uU]|[bB]|[rR]|[bB][rR]|[rR][bB])?"""/,end:/"""/,contains:[e.BACKSLASH_ESCAPE,d],relevance:10},{begin:/([fF][rR]|[rR][fF]|[fF])'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE,d,f,g]},{begin:/([fF][rR]|[rR][fF]|[fF])"""/,end:/"""/,contains:[e.BACKSLASH_ESCAPE,d,f,g]},{begin:/([uU]|[rR])'/,end:/'/,relevance:10},{begin:/([uU]|[rR])"/,end:/"/,relevance:10},{begin:/([bB]|[bB][rR]|[rR][bB])'/,end:/'/},{begin:/([bB]|[bB][rR]|[rR][bB])"/,end:/"/},{begin:/([fF][rR]|[rR][fF]|[fF])'/,end:/'/,contains:[e.BACKSLASH_ESCAPE,f,g]},{begin:/([fF][rR]|[rR][fF]|[fF])"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,f,g]},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},p="[0-9](_?[0-9])*",m=`(\\b(${p}))?\\.(${p})|\\b(${p})\\.`,y=`\\b|${r.join("|")}`,b={className:"number",relevance:0,variants:[{begin:`(\\b(${p})|(${m}))[eE][+-]?(${p})[jJ]?(?=${y})`},{begin:`(${m})[jJ]?`},{begin:`\\b([1-9](_?[0-9])*|0+(_?0)*)[lLjJ]?(?=${y})`},{begin:`\\b0[bB](_?[01])+[lL]?(?=${y})`},{begin:`\\b0[oO](_?[0-7])+[lL]?(?=${y})`},{begin:`\\b0[xX](_?[0-9a-fA-F])+[lL]?(?=${y})`},{begin:`\\b(${p})[jJ](?=${y})`}]},C={className:"comment",begin:t.lookahead(/# type:/),end:/$/,keywords:c,contains:[{begin:/# type:/},{begin:/#/,end:/\b\B/,endsWithParent:!0}]},E={className:"params",variants:[{className:"",begin:/\(\s*\)/,skip:!0},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:c,contains:["self",d,b,h,e.HASH_COMMENT_MODE]}]};return g.contains=[h,b,d],{name:"Python",aliases:["py","gyp","ipython"],unicodeRegex:!0,keywords:c,illegal:/(<\/|\?)|=>/,contains:[d,b,{begin:/\bself\b/},{beginKeywords:"if",relevance:0},h,C,e.HASH_COMMENT_MODE,{match:[/\bdef/,/\s+/,n],scope:{1:"keyword",3:"title.function"},contains:[E]},{variants:[{match:[/\bclass/,/\s+/,n,/\s*/,/\(\s*/,n,/\s*\)/]},{match:[/\bclass/,/\s+/,n]}],scope:{1:"keyword",3:"title.class",6:"title.class.inherited"}},{className:"meta",begin:/^[\t ]*@/,end:/(?=#)|$/,contains:[b,E,h]}]}}var XQ=jQ;function ZQ(e){return{aliases:["pycon"],contains:[{className:"meta.prompt",starts:{end:/ |$/,starts:{end:"$",subLanguage:"python"}},variants:[{begin:/^>>>(?=[ ]|$)/},{begin:/^\.\.\.(?=[ ]|$)/}]}]}}var JQ=ZQ;function ej(e){return{name:"Q",aliases:["k","kdb"],keywords:{$pattern:/(`?)[A-Za-z0-9_]+\b/,keyword:"do while select delete by update from",literal:"0b 1b",built_in:"neg not null string reciprocal floor ceiling signum mod xbar xlog and or each scan over prior mmu lsq inv md5 ltime gtime count first var dev med cov cor all any rand sums prds mins maxs fills deltas ratios avgs differ prev next rank reverse iasc idesc asc desc msum mcount mavg mdev xrank mmin mmax xprev rotate distinct group where flip type key til get value attr cut set upsert raze union inter except cross sv vs sublist enlist read0 read1 hopen hclose hdel hsym hcount peach system ltrim rtrim trim lower upper ssr view tables views cols xcols keys xkey xcol xasc xdesc fkeys meta lj aj aj0 ij pj asof uj ww wj wj1 fby xgroup ungroup ej save load rsave rload show csv parse eval min max avg wavg wsum sin cos tan sum",type:"`float `double int `timestamp `timespan `datetime `time `boolean `symbol `char `byte `short `long `real `month `date `minute `second `guid"},contains:[e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}var tj=ej;function nj(e){const t=e.regex,n={keyword:"in of on if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await import",literal:"true false null undefined NaN Infinity",built_in:"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Behavior bool color coordinate date double enumeration font geocircle georectangle geoshape int list matrix4x4 parent point quaternion real rect size string url variant vector2d vector3d vector4d Promise"},r="[a-zA-Z_][a-zA-Z0-9\\._]*",a={className:"keyword",begin:"\\bproperty\\b",starts:{className:"string",end:"(:|=|;|,|//|/\\*|$)",returnEnd:!0}},l={className:"keyword",begin:"\\bsignal\\b",starts:{className:"string",end:"(\\(|:|=|;|,|//|/\\*|$)",returnEnd:!0}},u={className:"attribute",begin:"\\bid\\s*:",starts:{className:"string",end:r,returnEnd:!1}},c={begin:r+"\\s*:",returnBegin:!0,contains:[{className:"attribute",begin:r,end:"\\s*:",excludeEnd:!0,relevance:0}],relevance:0},d={begin:t.concat(r,/\s*\{/),end:/\{/,returnBegin:!0,relevance:0,contains:[e.inherit(e.TITLE_MODE,{begin:r})]};return{name:"QML",aliases:["qt"],case_insensitive:!1,keywords:n,contains:[{className:"meta",begin:/^\s*['"]use (strict|asm)['"]/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,{className:"subst",begin:"\\$\\{",end:"\\}"}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:e.C_NUMBER_RE}],relevance:0},{begin:"("+e.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{begin:/\s*[);\]]/,relevance:0,subLanguage:"xml"}],relevance:0},l,a,{className:"function",beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}],illegal:/\[|%/},{begin:"\\."+e.IDENT_RE,relevance:0},u,c,d],illegal:/#/}}var rj=nj;function ij(e){const t=e.regex,n=/(?:(?:[a-zA-Z]|\.[._a-zA-Z])[._a-zA-Z0-9]*)|\.(?!\d)/,r=t.either(/0[xX][0-9a-fA-F]+\.[0-9a-fA-F]*[pP][+-]?\d+i?/,/0[xX][0-9a-fA-F]+(?:[pP][+-]?\d+)?[Li]?/,/(?:\d+(?:\.\d*)?|\.\d+)(?:[eE][+-]?\d+)?[Li]?/),a=/[=!<>:]=|\|\||&&|:::?|<-|<<-|->>|->|\|>|[-+*\/?!$&|:<=>@^~]|\*\*/,l=t.either(/[()]/,/[{}]/,/\[\[/,/[[\]]/,/\\/,/,/);return{name:"R",keywords:{$pattern:n,keyword:"function if in break next repeat else for while",literal:"NULL NA TRUE FALSE Inf NaN NA_integer_|10 NA_real_|10 NA_character_|10 NA_complex_|10",built_in:"LETTERS letters month.abb month.name pi T F abs acos acosh all any anyNA Arg as.call as.character as.complex as.double as.environment as.integer as.logical as.null.default as.numeric as.raw asin asinh atan atanh attr attributes baseenv browser c call ceiling class Conj cos cosh cospi cummax cummin cumprod cumsum digamma dim dimnames emptyenv exp expression floor forceAndCall gamma gc.time globalenv Im interactive invisible is.array is.atomic is.call is.character is.complex is.double is.environment is.expression is.finite is.function is.infinite is.integer is.language is.list is.logical is.matrix is.na is.name is.nan is.null is.numeric is.object is.pairlist is.raw is.recursive is.single is.symbol lazyLoadDBfetch length lgamma list log max min missing Mod names nargs nzchar oldClass on.exit pos.to.env proc.time prod quote range Re rep retracemem return round seq_along seq_len seq.int sign signif sin sinh sinpi sqrt standardGeneric substitute sum switch tan tanh tanpi tracemem trigamma trunc unclass untracemem UseMethod xtfrm"},contains:[e.COMMENT(/#'/,/$/,{contains:[{scope:"doctag",match:/@examples/,starts:{end:t.lookahead(t.either(/\n^#'\s*(?=@[a-zA-Z]+)/,/\n^(?!#')/)),endsParent:!0}},{scope:"doctag",begin:"@param",end:/$/,contains:[{scope:"variable",variants:[{match:n},{match:/`(?:\\.|[^`\\])+`/}],endsParent:!0}]},{scope:"doctag",match:/@[a-zA-Z]+/},{scope:"keyword",match:/\\[a-zA-Z]+/}]}),e.HASH_COMMENT_MODE,{scope:"string",contains:[e.BACKSLASH_ESCAPE],variants:[e.END_SAME_AS_BEGIN({begin:/[rR]"(-*)\(/,end:/\)(-*)"/}),e.END_SAME_AS_BEGIN({begin:/[rR]"(-*)\{/,end:/\}(-*)"/}),e.END_SAME_AS_BEGIN({begin:/[rR]"(-*)\[/,end:/\](-*)"/}),e.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\(/,end:/\)(-*)'/}),e.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\{/,end:/\}(-*)'/}),e.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\[/,end:/\](-*)'/}),{begin:'"',end:'"',relevance:0},{begin:"'",end:"'",relevance:0}]},{relevance:0,variants:[{scope:{1:"operator",2:"number"},match:[a,r]},{scope:{1:"operator",2:"number"},match:[/%[^%]*%/,r]},{scope:{1:"punctuation",2:"number"},match:[l,r]},{scope:{2:"number"},match:[/[^a-zA-Z0-9._]|^/,r]}]},{scope:{3:"operator"},match:[n,/\s+/,/<-/,/\s+/]},{scope:"operator",relevance:0,variants:[{match:a},{match:/%[^%]*%/}]},{scope:"punctuation",relevance:0,match:l},{begin:"`",end:"`",contains:[{begin:/\\./}]}]}}var aj=ij;function oj(e){function t(A){return A.map(function(P){return P.split("").map(function(F){return"\\"+F}).join("")}).join("|")}const n="~?[a-z$_][0-9a-zA-Z$_]*",r="`?[A-Z$_][0-9a-zA-Z$_]*",a="'?[a-z$_][0-9a-z$_]*",l="\\s*:\\s*[a-z$_][0-9a-z$_]*(\\(\\s*("+a+"\\s*(,"+a+"\\s*)*)?\\))?",u=n+"("+l+"){0,2}",c="("+t(["||","++","**","+.","*","/","*.","/.","..."])+"|\\|>|&&|==|===)",d="\\s+"+c+"\\s+",g={keyword:"and as asr assert begin class constraint do done downto else end exception external for fun function functor if in include inherit initializer land lazy let lor lsl lsr lxor match method mod module mutable new nonrec object of open or private rec sig struct then to try type val virtual when while with",built_in:"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 ref string unit ",literal:"true false"},f="\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",h={className:"number",relevance:0,variants:[{begin:f},{begin:"\\(-"+f+"\\)"}]},p={className:"operator",relevance:0,begin:c},m=[{className:"identifier",relevance:0,begin:n},p,h],y=[e.QUOTE_STRING_MODE,p,{className:"module",begin:"\\b"+r,returnBegin:!0,relevance:0,end:".",contains:[{className:"identifier",begin:r,relevance:0}]}],b=[{className:"module",begin:"\\b"+r,returnBegin:!0,end:".",relevance:0,contains:[{className:"identifier",begin:r,relevance:0}]}],C={begin:n,end:"(,|\\n|\\))",relevance:0,contains:[p,{className:"typing",begin:":",end:"(,|\\n)",returnBegin:!0,relevance:0,contains:b}]},E={className:"function",relevance:0,keywords:g,variants:[{begin:"\\s(\\(\\.?.*?\\)|"+n+")\\s*=>",end:"\\s*=>",returnBegin:!0,relevance:0,contains:[{className:"params",variants:[{begin:n},{begin:u},{begin:/\(\s*\)/}]}]},{begin:"\\s\\(\\.?[^;\\|]*\\)\\s*=>",end:"\\s=>",returnBegin:!0,relevance:0,contains:[{className:"params",relevance:0,variants:[C]}]},{begin:"\\(\\.\\s"+n+"\\)\\s*=>"}]};y.push(E);const v={className:"constructor",begin:r+"\\(",end:"\\)",illegal:"\\n",keywords:g,contains:[e.QUOTE_STRING_MODE,p,{className:"params",begin:"\\b"+n}]},O={className:"pattern-match",begin:"\\|",returnBegin:!0,keywords:g,end:"=>",relevance:0,contains:[v,p,{relevance:0,className:"constructor",begin:r}]},S={className:"module-access",keywords:g,returnBegin:!0,variants:[{begin:"\\b("+r+"\\.)+"+n},{begin:"\\b("+r+"\\.)+\\(",end:"\\)",returnBegin:!0,contains:[E,{begin:"\\(",end:"\\)",relevance:0,skip:!0}].concat(y)},{begin:"\\b("+r+"\\.)+\\{",end:/\}/}],contains:y};return b.push(S),{name:"ReasonML",aliases:["re"],keywords:g,illegal:"(:-|:=|\\$\\{|\\+=)",contains:[e.COMMENT("/\\*","\\*/",{illegal:"^(#,\\/\\/)"}),{className:"character",begin:"'(\\\\[^']+|[^'])'",illegal:"\\n",relevance:0},e.QUOTE_STRING_MODE,{className:"literal",begin:"\\(\\)",relevance:0},{className:"literal",begin:"\\[\\|",end:"\\|\\]",relevance:0,contains:m},{className:"literal",begin:"\\[",end:"\\]",relevance:0,contains:m},v,{className:"operator",begin:d,illegal:"-->",relevance:0},h,e.C_LINE_COMMENT_MODE,O,E,{className:"module-def",begin:"\\bmodule\\s+"+n+"\\s+"+r+"\\s+=\\s+\\{",end:/\}/,returnBegin:!0,keywords:g,relevance:0,contains:[{className:"module",relevance:0,begin:r},{begin:/\{/,end:/\}/,relevance:0,skip:!0}].concat(y)},S]}}var sj=oj;function lj(e){return{name:"RenderMan RIB",keywords:"ArchiveRecord AreaLightSource Atmosphere Attribute AttributeBegin AttributeEnd Basis Begin Blobby Bound Clipping ClippingPlane Color ColorSamples ConcatTransform Cone CoordinateSystem CoordSysTransform CropWindow Curves Cylinder DepthOfField Detail DetailRange Disk Displacement Display End ErrorHandler Exposure Exterior Format FrameAspectRatio FrameBegin FrameEnd GeneralPolygon GeometricApproximation Geometry Hider Hyperboloid Identity Illuminate Imager Interior LightSource MakeCubeFaceEnvironment MakeLatLongEnvironment MakeShadow MakeTexture Matte MotionBegin MotionEnd NuPatch ObjectBegin ObjectEnd ObjectInstance Opacity Option Orientation Paraboloid Patch PatchMesh Perspective PixelFilter PixelSamples PixelVariance Points PointsGeneralPolygons PointsPolygons Polygon Procedural Projection Quantize ReadArchive RelativeDetail ReverseOrientation Rotate Scale ScreenWindow ShadingInterpolation ShadingRate Shutter Sides Skew SolidBegin SolidEnd Sphere SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd TransformPoints Translate TrimCurve WorldBegin WorldEnd",illegal:"/}],illegal:/./},e.COMMENT("^#","$"),c,d,u,{begin:/[\w-]+=([^\s{}[\]()>]+)/,relevance:0,returnBegin:!0,contains:[{className:"attribute",begin:/[^=]+/},{begin:/=/,endsWithParent:!0,relevance:0,contains:[c,d,u,{className:"literal",begin:"\\b("+a.split(" ").join("|")+")\\b"},{begin:/("[^"]*"|[^\s{}[\]]+)/}]}]},{className:"number",begin:/\*[0-9a-fA-F]+/},{begin:"\\b("+r.split(" ").join("|")+")([\\s[(\\]|])",returnBegin:!0,contains:[{className:"built_in",begin:/\w+/}]},{className:"built_in",variants:[{begin:"(\\.\\./|/|\\s)(("+l.split(" ").join("|")+");?\\s)+"},{begin:/\.\./,relevance:0}]}]}}var pj=_j;function mj(e){const t=["abs","acos","ambient","area","asin","atan","atmosphere","attribute","calculatenormal","ceil","cellnoise","clamp","comp","concat","cos","degrees","depth","Deriv","diffuse","distance","Du","Dv","environment","exp","faceforward","filterstep","floor","format","fresnel","incident","length","lightsource","log","match","max","min","mod","noise","normalize","ntransform","opposite","option","phong","pnoise","pow","printf","ptlined","radians","random","reflect","refract","renderinfo","round","setcomp","setxcomp","setycomp","setzcomp","shadow","sign","sin","smoothstep","specular","specularbrdf","spline","sqrt","step","tan","texture","textureinfo","trace","transform","vtransform","xcomp","ycomp","zcomp"],n=["matrix","float","color","point","normal","vector"],r=["while","for","if","do","return","else","break","extern","continue"],a={match:[/(surface|displacement|light|volume|imager)/,/\s+/,e.IDENT_RE],scope:{1:"keyword",3:"title.class"}};return{name:"RenderMan RSL",keywords:{keyword:r,built_in:t,type:n},illegal:""},n]}}var Sj=Ej;function bj(e){const t=e.regex,n=["do","if","then","else","end","until","while","abort","array","attrib","by","call","cards","cards4","catname","continue","datalines","datalines4","delete","delim","delimiter","display","dm","drop","endsas","error","file","filename","footnote","format","goto","in","infile","informat","input","keep","label","leave","length","libname","link","list","lostcard","merge","missing","modify","options","output","out","page","put","redirect","remove","rename","replace","retain","return","select","set","skip","startsas","stop","title","update","waitsas","where","window","x|0","systask","add","and","alter","as","cascade","check","create","delete","describe","distinct","drop","foreign","from","group","having","index","insert","into","in","key","like","message","modify","msgtype","not","null","on","or","order","primary","references","reset","restrict","select","set","table","unique","update","validate","view","where"],r=["abs","addr","airy","arcos","arsin","atan","attrc","attrn","band","betainv","blshift","bnot","bor","brshift","bxor","byte","cdf","ceil","cexist","cinv","close","cnonct","collate","compbl","compound","compress","cos","cosh","css","curobs","cv","daccdb","daccdbsl","daccsl","daccsyd","dacctab","dairy","date","datejul","datepart","datetime","day","dclose","depdb","depdbsl","depdbsl","depsl","depsl","depsyd","depsyd","deptab","deptab","dequote","dhms","dif","digamma","dim","dinfo","dnum","dopen","doptname","doptnum","dread","dropnote","dsname","erf","erfc","exist","exp","fappend","fclose","fcol","fdelete","fetch","fetchobs","fexist","fget","fileexist","filename","fileref","finfo","finv","fipname","fipnamel","fipstate","floor","fnonct","fnote","fopen","foptname","foptnum","fpoint","fpos","fput","fread","frewind","frlen","fsep","fuzz","fwrite","gaminv","gamma","getoption","getvarc","getvarn","hbound","hms","hosthelp","hour","ibessel","index","indexc","indexw","input","inputc","inputn","int","intck","intnx","intrr","irr","jbessel","juldate","kurtosis","lag","lbound","left","length","lgamma","libname","libref","log","log10","log2","logpdf","logpmf","logsdf","lowcase","max","mdy","mean","min","minute","mod","month","mopen","mort","n","netpv","nmiss","normal","note","npv","open","ordinal","pathname","pdf","peek","peekc","pmf","point","poisson","poke","probbeta","probbnml","probchi","probf","probgam","probhypr","probit","probnegb","probnorm","probt","put","putc","putn","qtr","quote","ranbin","rancau","ranexp","rangam","range","rank","rannor","ranpoi","rantbl","rantri","ranuni","repeat","resolve","reverse","rewind","right","round","saving","scan","sdf","second","sign","sin","sinh","skewness","soundex","spedis","sqrt","std","stderr","stfips","stname","stnamel","substr","sum","symget","sysget","sysmsg","sysprod","sysrc","system","tan","tanh","time","timepart","tinv","tnonct","today","translate","tranwrd","trigamma","trim","trimn","trunc","uniform","upcase","uss","var","varfmt","varinfmt","varlabel","varlen","varname","varnum","varray","varrayx","vartype","verify","vformat","vformatd","vformatdx","vformatn","vformatnx","vformatw","vformatwx","vformatx","vinarray","vinarrayx","vinformat","vinformatd","vinformatdx","vinformatn","vinformatnx","vinformatw","vinformatwx","vinformatx","vlabel","vlabelx","vlength","vlengthx","vname","vnamex","vtype","vtypex","weekday","year","yyq","zipfips","zipname","zipnamel","zipstate"],a=["bquote","nrbquote","cmpres","qcmpres","compstor","datatyp","display","do","else","end","eval","global","goto","if","index","input","keydef","label","left","length","let","local","lowcase","macro","mend","nrbquote","nrquote","nrstr","put","qcmpres","qleft","qlowcase","qscan","qsubstr","qsysfunc","qtrim","quote","qupcase","scan","str","substr","superq","syscall","sysevalf","sysexec","sysfunc","sysget","syslput","sysprod","sysrc","sysrput","then","to","trim","unquote","until","upcase","verify","while","window"];return{name:"SAS",case_insensitive:!0,keywords:{literal:["null","missing","_all_","_automatic_","_character_","_infile_","_n_","_name_","_null_","_numeric_","_user_","_webout_"],keyword:n},contains:[{className:"keyword",begin:/^\s*(proc [\w\d_]+|data|run|quit)[\s;]/},{className:"variable",begin:/&[a-zA-Z_&][a-zA-Z0-9_]*\.?/},{begin:[/^\s*/,/datalines;|cards;/,/(?:.*\n)+/,/^\s*;\s*$/],className:{2:"keyword",3:"string"}},{begin:[/%mend|%macro/,/\s+/,/[a-zA-Z_&][a-zA-Z0-9_]*/],className:{1:"built_in",3:"title.function"}},{className:"built_in",begin:"%"+t.either(...a)},{className:"title.function",begin:/%[a-zA-Z_][a-zA-Z_0-9]*/},{className:"meta",begin:t.either(...r)+"(?=\\()"},{className:"string",variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},e.COMMENT("\\*",";"),e.C_BLOCK_COMMENT_MODE]}}var vj=bj;function Tj(e){const t=e.regex,n={className:"meta",begin:"@[A-Za-z]+"},r={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"},{begin:/\$\{/,end:/\}/}]},a={className:"string",variants:[{begin:'"""',end:'"""'},{begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},{begin:'[a-z]+"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,r]},{className:"string",begin:'[a-z]+"""',end:'"""',contains:[r],relevance:10}]},l={className:"type",begin:"\\b[A-Z][A-Za-z0-9_]*",relevance:0},u={className:"title",begin:/[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/,relevance:0},c={className:"class",beginKeywords:"class object trait type",end:/[:={\[\n;]/,excludeEnd:!0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{beginKeywords:"extends with",relevance:10},{begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[l]},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[l]},u]},d={className:"function",beginKeywords:"def",end:t.lookahead(/[:={\[(\n;]/),contains:[u]},g={begin:[/^\s*/,"extension",/\s+(?=[[(])/],beginScope:{2:"keyword"}},f={begin:[/^\s*/,/end/,/\s+/,/(extension\b)?/],beginScope:{2:"keyword",4:"keyword"}},h=[{match:/\.inline\b/},{begin:/\binline(?=\s)/,keywords:"inline"}],p={begin:[/\(\s*/,/using/,/\s+(?!\))/],beginScope:{2:"keyword"}};return{name:"Scala",keywords:{literal:"true false null",keyword:"type yield lazy override def with val var sealed abstract private trait object if then forSome for while do throw finally protected extends import final return else break new catch super class case package default try this match continue throws implicit export enum given transparent"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,l,d,c,e.C_NUMBER_MODE,g,f,...h,p,n]}}var yj=Tj;function Cj(e){const t="[^\\(\\)\\[\\]\\{\\}\",'`;#|\\\\\\s]+",n="(-|\\+)?\\d+([./]\\d+)?",r=n+"[+\\-]"+n+"i",a={$pattern:t,built_in:"case-lambda call/cc class define-class exit-handler field import inherit init-field interface let*-values let-values let/ec mixin opt-lambda override protect provide public rename require require-for-syntax syntax syntax-case syntax-error unit/sig unless when with-syntax and begin call-with-current-continuation call-with-input-file call-with-output-file case cond define define-syntax delay do dynamic-wind else for-each if lambda let let* let-syntax letrec letrec-syntax map or syntax-rules ' * + , ,@ - ... / ; < <= = => > >= ` abs acos angle append apply asin assoc assq assv atan boolean? caar cadr call-with-input-file call-with-output-file call-with-values car cdddar cddddr cdr ceiling char->integer char-alphabetic? char-ci<=? char-ci=? char-ci>? char-downcase char-lower-case? char-numeric? char-ready? char-upcase char-upper-case? char-whitespace? char<=? char=? char>? char? close-input-port close-output-port complex? cons cos current-input-port current-output-port denominator display eof-object? eq? equal? eqv? eval even? exact->inexact exact? exp expt floor force gcd imag-part inexact->exact inexact? input-port? integer->char integer? interaction-environment lcm length list list->string list->vector list-ref list-tail list? load log magnitude make-polar make-rectangular make-string make-vector max member memq memv min modulo negative? newline not null-environment null? number->string number? numerator odd? open-input-file open-output-file output-port? pair? peek-char port? positive? procedure? quasiquote quote quotient rational? rationalize read read-char real-part real? remainder reverse round scheme-report-environment set! set-car! set-cdr! sin sqrt string string->list string->number string->symbol string-append string-ci<=? string-ci=? string-ci>? string-copy string-fill! string-length string-ref string-set! string<=? string=? string>? string? substring symbol->string symbol? tan transcript-off transcript-on truncate values vector vector->list vector-fill! vector-length vector-ref vector-set! with-input-from-file with-output-to-file write write-char zero?"},l={className:"literal",begin:"(#t|#f|#\\\\"+t+"|#\\\\.)"},u={className:"number",variants:[{begin:n,relevance:0},{begin:r,relevance:0},{begin:"#b[0-1]+(/[0-1]+)?"},{begin:"#o[0-7]+(/[0-7]+)?"},{begin:"#x[0-9a-f]+(/[0-9a-f]+)?"}]},c=e.QUOTE_STRING_MODE,d=[e.COMMENT(";","$",{relevance:0}),e.COMMENT("#\\|","\\|#")],g={begin:t,relevance:0},f={className:"symbol",begin:"'"+t},h={endsWithParent:!0,relevance:0},p={variants:[{begin:/'/},{begin:"`"}],contains:[{begin:"\\(",end:"\\)",contains:["self",l,c,u,g,f]}]},m={className:"name",relevance:0,begin:t,keywords:a},b={variants:[{begin:"\\(",end:"\\)"},{begin:"\\[",end:"\\]"}],contains:[{begin:/lambda/,endsWithParent:!0,returnBegin:!0,contains:[m,{endsParent:!0,variants:[{begin:/\(/,end:/\)/},{begin:/\[/,end:/\]/}],contains:[g]}]},m,h]};return h.contains=[l,u,c,g,f,p,b].concat(d),{name:"Scheme",aliases:["scm"],illegal:/\S/,contains:[e.SHEBANG(),u,c,f,p,b].concat(d)}}var Rj=Cj;function Oj(e){const t=[e.C_NUMBER_MODE,{className:"string",begin:`'|"`,end:`'|"`,contains:[e.BACKSLASH_ESCAPE,{begin:"''"}]}];return{name:"Scilab",aliases:["sci"],keywords:{$pattern:/%?\w+/,keyword:"abort break case clear catch continue do elseif else endfunction end for function global if pause return resume select try then while",literal:"%f %F %t %T %pi %eps %inf %nan %e %i %z %s",built_in:"abs and acos asin atan ceil cd chdir clearglobal cosh cos cumprod deff disp error exec execstr exists exp eye gettext floor fprintf fread fsolve imag isdef isempty isinfisnan isvector lasterror length load linspace list listfiles log10 log2 log max min msprintf mclose mopen ones or pathconvert poly printf prod pwd rand real round sinh sin size gsort sprintf sqrt strcat strcmps tring sum system tanh tan type typename warning zeros matrix"},illegal:'("|#|/\\*|\\s+/\\w+)',contains:[{className:"function",beginKeywords:"function",end:"$",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]},{begin:"[a-zA-Z_][a-zA-Z_0-9]*[\\.']+",relevance:0},{begin:"\\[",end:"\\][\\.']*",relevance:0,contains:t},e.COMMENT("//","$")].concat(t)}}var Nj=Oj;const Aj=e=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:e.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:e.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z][A-Za-z0-9_-]*/}}),Ij=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","p","q","quote","samp","section","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],Dj=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"],xj=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"],wj=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"],Mj=["align-content","align-items","align-self","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","empty-cells","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","isolation","justify-content","left","letter-spacing","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","resize","rest","rest-after","rest-before","right","row-gap","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","speak","speak-as","src","tab-size","table-layout","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-style","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","unicode-bidi","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","z-index"].reverse();function Lj(e){const t=Aj(e),n=wj,r=xj,a="@[a-z-]+",l="and or not only",c={className:"variable",begin:"(\\$"+"[a-zA-Z-][a-zA-Z0-9_-]*"+")\\b",relevance:0};return{name:"SCSS",case_insensitive:!0,illegal:"[=/|']",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,t.CSS_NUMBER_MODE,{className:"selector-id",begin:"#[A-Za-z0-9_-]+",relevance:0},{className:"selector-class",begin:"\\.[A-Za-z0-9_-]+",relevance:0},t.ATTRIBUTE_SELECTOR_MODE,{className:"selector-tag",begin:"\\b("+Ij.join("|")+")\\b",relevance:0},{className:"selector-pseudo",begin:":("+r.join("|")+")"},{className:"selector-pseudo",begin:":(:)?("+n.join("|")+")"},c,{begin:/\(/,end:/\)/,contains:[t.CSS_NUMBER_MODE]},t.CSS_VARIABLE,{className:"attribute",begin:"\\b("+Mj.join("|")+")\\b"},{begin:"\\b(whitespace|wait|w-resize|visible|vertical-text|vertical-ideographic|uppercase|upper-roman|upper-alpha|underline|transparent|top|thin|thick|text|text-top|text-bottom|tb-rl|table-header-group|table-footer-group|sw-resize|super|strict|static|square|solid|small-caps|separate|se-resize|scroll|s-resize|rtl|row-resize|ridge|right|repeat|repeat-y|repeat-x|relative|progress|pointer|overline|outside|outset|oblique|nowrap|not-allowed|normal|none|nw-resize|no-repeat|no-drop|newspaper|ne-resize|n-resize|move|middle|medium|ltr|lr-tb|lowercase|lower-roman|lower-alpha|loose|list-item|line|line-through|line-edge|lighter|left|keep-all|justify|italic|inter-word|inter-ideograph|inside|inset|inline|inline-block|inherit|inactive|ideograph-space|ideograph-parenthesis|ideograph-numeric|ideograph-alpha|horizontal|hidden|help|hand|groove|fixed|ellipsis|e-resize|double|dotted|distribute|distribute-space|distribute-letter|distribute-all-lines|disc|disabled|default|decimal|dashed|crosshair|collapse|col-resize|circle|char|center|capitalize|break-word|break-all|bottom|both|bolder|bold|block|bidi-override|below|baseline|auto|always|all-scroll|absolute|table|table-cell)\\b"},{begin:/:/,end:/[;}{]/,relevance:0,contains:[t.BLOCK_COMMENT,c,t.HEXCOLOR,t.CSS_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,t.IMPORTANT,t.FUNCTION_DISPATCH]},{begin:"@(page|font-face)",keywords:{$pattern:a,keyword:"@page @font-face"}},{begin:"@",end:"[{;]",returnBegin:!0,keywords:{$pattern:/[a-z-]+/,keyword:l,attribute:Dj.join(" ")},contains:[{begin:a,className:"keyword"},{begin:/[a-z-]+(?=:)/,className:"attribute"},c,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,t.HEXCOLOR,t.CSS_NUMBER_MODE]},t.FUNCTION_DISPATCH]}}var kj=Lj;function Pj(e){return{name:"Shell Session",aliases:["console","shellsession"],contains:[{className:"meta.prompt",begin:/^\s{0,3}[/~\w\d[\]()@-]*[>%$#][ ]?/,starts:{end:/[^\\](?=\s*$)/,subLanguage:"bash"}}]}}var Bj=Pj;function Fj(e){const t=["add","and","cmp","cmpg","cmpl","const","div","double","float","goto","if","int","long","move","mul","neg","new","nop","not","or","rem","return","shl","shr","sput","sub","throw","ushr","xor"],n=["aget","aput","array","check","execute","fill","filled","goto/16","goto/32","iget","instance","invoke","iput","monitor","packed","sget","sparse"],r=["transient","constructor","abstract","final","synthetic","public","private","protected","static","bridge","system"];return{name:"Smali",contains:[{className:"string",begin:'"',end:'"',relevance:0},e.COMMENT("#","$",{relevance:0}),{className:"keyword",variants:[{begin:"\\s*\\.end\\s[a-zA-Z0-9]*"},{begin:"^[ ]*\\.[a-zA-Z]*",relevance:0},{begin:"\\s:[a-zA-Z_0-9]*",relevance:0},{begin:"\\s("+r.join("|")+")"}]},{className:"built_in",variants:[{begin:"\\s("+t.join("|")+")\\s"},{begin:"\\s("+t.join("|")+")((-|/)[a-zA-Z0-9]+)+\\s",relevance:10},{begin:"\\s("+n.join("|")+")((-|/)[a-zA-Z0-9]+)*\\s",relevance:10}]},{className:"class",begin:`L[^(;: ]*;`,relevance:0},{begin:"[vp][0-9]+"}]}}var Uj=Fj;function Gj(e){const t="[a-z][a-zA-Z0-9_]*",n={className:"string",begin:"\\$.{1}"},r={className:"symbol",begin:"#"+e.UNDERSCORE_IDENT_RE};return{name:"Smalltalk",aliases:["st"],keywords:["self","super","nil","true","false","thisContext"],contains:[e.COMMENT('"','"'),e.APOS_STRING_MODE,{className:"type",begin:"\\b[A-Z][A-Za-z0-9_]*",relevance:0},{begin:t+":",relevance:0},e.C_NUMBER_MODE,r,n,{begin:"\\|[ ]*"+t+"([ ]+"+t+")*[ ]*\\|",returnBegin:!0,end:/\|/,illegal:/\S/,contains:[{begin:"(\\|[ ]*)?"+t}]},{begin:"#\\(",end:"\\)",contains:[e.APOS_STRING_MODE,n,e.C_NUMBER_MODE,r]}]}}var qj=Gj;function Yj(e){return{name:"SML (Standard ML)",aliases:["ml"],keywords:{$pattern:"[a-z_]\\w*!?",keyword:"abstype and andalso as case datatype do else end eqtype exception fn fun functor handle if in include infix infixr let local nonfix of op open orelse raise rec sharing sig signature struct structure then type val with withtype where while",built_in:"array bool char exn int list option order real ref string substring vector unit word",literal:"true false NONE SOME LESS EQUAL GREATER nil"},illegal:/\/\/|>>/,contains:[{className:"literal",begin:/\[(\|\|)?\]|\(\)/,relevance:0},e.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),{className:"symbol",begin:"'[A-Za-z_](?!')[\\w']*"},{className:"type",begin:"`[A-Z][\\w']*"},{className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},{begin:"[a-z_]\\w*'[\\w']*"},e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"number",begin:"\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",relevance:0},{begin:/[-=]>/}]}}var zj=Yj;function $j(e){const t={className:"variable",begin:/\b_+[a-zA-Z]\w*/},n={className:"title",begin:/[a-zA-Z][a-zA-Z_0-9]*_fnc_[a-zA-Z_0-9]+/},r={className:"string",variants:[{begin:'"',end:'"',contains:[{begin:'""',relevance:0}]},{begin:"'",end:"'",contains:[{begin:"''",relevance:0}]}]},a=["break","breakWith","breakOut","breakTo","case","catch","continue","continueWith","default","do","else","exit","exitWith","for","forEach","from","if","local","private","switch","step","then","throw","to","try","waitUntil","while","with"],l=["blufor","civilian","configNull","controlNull","displayNull","diaryRecordNull","east","endl","false","grpNull","independent","lineBreak","locationNull","nil","objNull","opfor","pi","resistance","scriptNull","sideAmbientLife","sideEmpty","sideEnemy","sideFriendly","sideLogic","sideUnknown","taskNull","teamMemberNull","true","west"],u=["abs","accTime","acos","action","actionIDs","actionKeys","actionKeysEx","actionKeysImages","actionKeysNames","actionKeysNamesArray","actionName","actionParams","activateAddons","activatedAddons","activateKey","activeTitleEffectParams","add3DENConnection","add3DENEventHandler","add3DENLayer","addAction","addBackpack","addBackpackCargo","addBackpackCargoGlobal","addBackpackGlobal","addBinocularItem","addCamShake","addCuratorAddons","addCuratorCameraArea","addCuratorEditableObjects","addCuratorEditingArea","addCuratorPoints","addEditorObject","addEventHandler","addForce","addForceGeneratorRTD","addGoggles","addGroupIcon","addHandgunItem","addHeadgear","addItem","addItemCargo","addItemCargoGlobal","addItemPool","addItemToBackpack","addItemToUniform","addItemToVest","addLiveStats","addMagazine","addMagazineAmmoCargo","addMagazineCargo","addMagazineCargoGlobal","addMagazineGlobal","addMagazinePool","addMagazines","addMagazineTurret","addMenu","addMenuItem","addMissionEventHandler","addMPEventHandler","addMusicEventHandler","addonFiles","addOwnedMine","addPlayerScores","addPrimaryWeaponItem","addPublicVariableEventHandler","addRating","addResources","addScore","addScoreSide","addSecondaryWeaponItem","addSwitchableUnit","addTeamMember","addToRemainsCollector","addTorque","addUniform","addUserActionEventHandler","addVehicle","addVest","addWaypoint","addWeapon","addWeaponCargo","addWeaponCargoGlobal","addWeaponGlobal","addWeaponItem","addWeaponPool","addWeaponTurret","addWeaponWithAttachmentsCargo","addWeaponWithAttachmentsCargoGlobal","admin","agent","agents","AGLToASL","aimedAtTarget","aimPos","airDensityCurveRTD","airDensityRTD","airplaneThrottle","airportSide","AISFinishHeal","alive","all3DENEntities","allActiveTitleEffects","allAddonsInfo","allAirports","allControls","allCurators","allCutLayers","allDead","allDeadMen","allDiaryRecords","allDiarySubjects","allDisplays","allEnv3DSoundSources","allGroups","allLODs","allMapMarkers","allMines","allMissionObjects","allObjects","allow3DMode","allowCrewInImmobile","allowCuratorLogicIgnoreAreas","allowDamage","allowDammage","allowedService","allowFileOperations","allowFleeing","allowGetIn","allowService","allowSprint","allPlayers","allSimpleObjects","allSites","allTurrets","allUnits","allUnitsUAV","allUsers","allVariables","ambientTemperature","ammo","ammoOnPylon","and","animate","animateBay","animateDoor","animatePylon","animateSource","animationNames","animationPhase","animationSourcePhase","animationState","apertureParams","append","apply","armoryPoints","arrayIntersect","asin","ASLToAGL","ASLToATL","assert","assignAsCargo","assignAsCargoIndex","assignAsCommander","assignAsDriver","assignAsGunner","assignAsTurret","assignCurator","assignedCargo","assignedCommander","assignedDriver","assignedGroup","assignedGunner","assignedItems","assignedTarget","assignedTeam","assignedVehicle","assignedVehicleRole","assignedVehicles","assignItem","assignTeam","assignToAirport","atan","atan2","atg","ATLToASL","attachedObject","attachedObjects","attachedTo","attachObject","attachTo","attackEnabled","awake","backpack","backpackCargo","backpackContainer","backpackItems","backpackMagazines","backpackSpaceFor","behaviour","benchmark","bezierInterpolation","binocular","binocularItems","binocularMagazine","boundingBox","boundingBoxReal","boundingCenter","brakesDisabled","briefingName","buildingExit","buildingPos","buldozer_EnableRoadDiag","buldozer_IsEnabledRoadDiag","buldozer_LoadNewRoads","buldozer_reloadOperMap","buttonAction","buttonSetAction","cadetMode","calculatePath","calculatePlayerVisibilityByFriendly","call","callExtension","camCommand","camCommit","camCommitPrepared","camCommitted","camConstuctionSetParams","camCreate","camDestroy","cameraEffect","cameraEffectEnableHUD","cameraInterest","cameraOn","cameraView","campaignConfigFile","camPreload","camPreloaded","camPrepareBank","camPrepareDir","camPrepareDive","camPrepareFocus","camPrepareFov","camPrepareFovRange","camPreparePos","camPrepareRelPos","camPrepareTarget","camSetBank","camSetDir","camSetDive","camSetFocus","camSetFov","camSetFovRange","camSetPos","camSetRelPos","camSetTarget","camTarget","camUseNVG","canAdd","canAddItemToBackpack","canAddItemToUniform","canAddItemToVest","cancelSimpleTaskDestination","canDeployWeapon","canFire","canMove","canSlingLoad","canStand","canSuspend","canTriggerDynamicSimulation","canUnloadInCombat","canVehicleCargo","captive","captiveNum","cbChecked","cbSetChecked","ceil","channelEnabled","cheatsEnabled","checkAIFeature","checkVisibility","className","clear3DENAttribute","clear3DENInventory","clearAllItemsFromBackpack","clearBackpackCargo","clearBackpackCargoGlobal","clearForcesRTD","clearGroupIcons","clearItemCargo","clearItemCargoGlobal","clearItemPool","clearMagazineCargo","clearMagazineCargoGlobal","clearMagazinePool","clearOverlay","clearRadio","clearWeaponCargo","clearWeaponCargoGlobal","clearWeaponPool","clientOwner","closeDialog","closeDisplay","closeOverlay","collapseObjectTree","collect3DENHistory","collectiveRTD","collisionDisabledWith","combatBehaviour","combatMode","commandArtilleryFire","commandChat","commander","commandFire","commandFollow","commandFSM","commandGetOut","commandingMenu","commandMove","commandRadio","commandStop","commandSuppressiveFire","commandTarget","commandWatch","comment","commitOverlay","compatibleItems","compatibleMagazines","compile","compileFinal","compileScript","completedFSM","composeText","configClasses","configFile","configHierarchy","configName","configOf","configProperties","configSourceAddonList","configSourceMod","configSourceModList","confirmSensorTarget","connectTerminalToUAV","connectToServer","controlsGroupCtrl","conversationDisabled","copyFromClipboard","copyToClipboard","copyWaypoints","cos","count","countEnemy","countFriendly","countSide","countType","countUnknown","create3DENComposition","create3DENEntity","createAgent","createCenter","createDialog","createDiaryLink","createDiaryRecord","createDiarySubject","createDisplay","createGearDialog","createGroup","createGuardedPoint","createHashMap","createHashMapFromArray","createLocation","createMarker","createMarkerLocal","createMenu","createMine","createMissionDisplay","createMPCampaignDisplay","createSimpleObject","createSimpleTask","createSite","createSoundSource","createTask","createTeam","createTrigger","createUnit","createVehicle","createVehicleCrew","createVehicleLocal","crew","ctAddHeader","ctAddRow","ctClear","ctCurSel","ctData","ctFindHeaderRows","ctFindRowHeader","ctHeaderControls","ctHeaderCount","ctRemoveHeaders","ctRemoveRows","ctrlActivate","ctrlAddEventHandler","ctrlAngle","ctrlAnimateModel","ctrlAnimationPhaseModel","ctrlAt","ctrlAutoScrollDelay","ctrlAutoScrollRewind","ctrlAutoScrollSpeed","ctrlBackgroundColor","ctrlChecked","ctrlClassName","ctrlCommit","ctrlCommitted","ctrlCreate","ctrlDelete","ctrlEnable","ctrlEnabled","ctrlFade","ctrlFontHeight","ctrlForegroundColor","ctrlHTMLLoaded","ctrlIDC","ctrlIDD","ctrlMapAnimAdd","ctrlMapAnimClear","ctrlMapAnimCommit","ctrlMapAnimDone","ctrlMapCursor","ctrlMapMouseOver","ctrlMapPosition","ctrlMapScale","ctrlMapScreenToWorld","ctrlMapSetPosition","ctrlMapWorldToScreen","ctrlModel","ctrlModelDirAndUp","ctrlModelScale","ctrlMousePosition","ctrlParent","ctrlParentControlsGroup","ctrlPosition","ctrlRemoveAllEventHandlers","ctrlRemoveEventHandler","ctrlScale","ctrlScrollValues","ctrlSetActiveColor","ctrlSetAngle","ctrlSetAutoScrollDelay","ctrlSetAutoScrollRewind","ctrlSetAutoScrollSpeed","ctrlSetBackgroundColor","ctrlSetChecked","ctrlSetDisabledColor","ctrlSetEventHandler","ctrlSetFade","ctrlSetFocus","ctrlSetFont","ctrlSetFontH1","ctrlSetFontH1B","ctrlSetFontH2","ctrlSetFontH2B","ctrlSetFontH3","ctrlSetFontH3B","ctrlSetFontH4","ctrlSetFontH4B","ctrlSetFontH5","ctrlSetFontH5B","ctrlSetFontH6","ctrlSetFontH6B","ctrlSetFontHeight","ctrlSetFontHeightH1","ctrlSetFontHeightH2","ctrlSetFontHeightH3","ctrlSetFontHeightH4","ctrlSetFontHeightH5","ctrlSetFontHeightH6","ctrlSetFontHeightSecondary","ctrlSetFontP","ctrlSetFontPB","ctrlSetFontSecondary","ctrlSetForegroundColor","ctrlSetModel","ctrlSetModelDirAndUp","ctrlSetModelScale","ctrlSetMousePosition","ctrlSetPixelPrecision","ctrlSetPosition","ctrlSetPositionH","ctrlSetPositionW","ctrlSetPositionX","ctrlSetPositionY","ctrlSetScale","ctrlSetScrollValues","ctrlSetShadow","ctrlSetStructuredText","ctrlSetText","ctrlSetTextColor","ctrlSetTextColorSecondary","ctrlSetTextSecondary","ctrlSetTextSelection","ctrlSetTooltip","ctrlSetTooltipColorBox","ctrlSetTooltipColorShade","ctrlSetTooltipColorText","ctrlSetTooltipMaxWidth","ctrlSetURL","ctrlSetURLOverlayMode","ctrlShadow","ctrlShow","ctrlShown","ctrlStyle","ctrlText","ctrlTextColor","ctrlTextHeight","ctrlTextSecondary","ctrlTextSelection","ctrlTextWidth","ctrlTooltip","ctrlType","ctrlURL","ctrlURLOverlayMode","ctrlVisible","ctRowControls","ctRowCount","ctSetCurSel","ctSetData","ctSetHeaderTemplate","ctSetRowTemplate","ctSetValue","ctValue","curatorAddons","curatorCamera","curatorCameraArea","curatorCameraAreaCeiling","curatorCoef","curatorEditableObjects","curatorEditingArea","curatorEditingAreaType","curatorMouseOver","curatorPoints","curatorRegisteredObjects","curatorSelected","curatorWaypointCost","current3DENOperation","currentChannel","currentCommand","currentMagazine","currentMagazineDetail","currentMagazineDetailTurret","currentMagazineTurret","currentMuzzle","currentNamespace","currentPilot","currentTask","currentTasks","currentThrowable","currentVisionMode","currentWaypoint","currentWeapon","currentWeaponMode","currentWeaponTurret","currentZeroing","cursorObject","cursorTarget","customChat","customRadio","customWaypointPosition","cutFadeOut","cutObj","cutRsc","cutText","damage","date","dateToNumber","dayTime","deActivateKey","debriefingText","debugFSM","debugLog","decayGraphValues","deg","delete3DENEntities","deleteAt","deleteCenter","deleteCollection","deleteEditorObject","deleteGroup","deleteGroupWhenEmpty","deleteIdentity","deleteLocation","deleteMarker","deleteMarkerLocal","deleteRange","deleteResources","deleteSite","deleteStatus","deleteTeam","deleteVehicle","deleteVehicleCrew","deleteWaypoint","detach","detectedMines","diag_activeMissionFSMs","diag_activeScripts","diag_activeSQFScripts","diag_activeSQSScripts","diag_allMissionEventHandlers","diag_captureFrame","diag_captureFrameToFile","diag_captureSlowFrame","diag_codePerformance","diag_deltaTime","diag_drawmode","diag_dumpCalltraceToLog","diag_dumpScriptAssembly","diag_dumpTerrainSynth","diag_dynamicSimulationEnd","diag_enable","diag_enabled","diag_exportConfig","diag_exportTerrainSVG","diag_fps","diag_fpsmin","diag_frameno","diag_getTerrainSegmentOffset","diag_lightNewLoad","diag_list","diag_localized","diag_log","diag_logSlowFrame","diag_mergeConfigFile","diag_recordTurretLimits","diag_resetFSM","diag_resetshapes","diag_scope","diag_setLightNew","diag_stacktrace","diag_tickTime","diag_toggle","dialog","diarySubjectExists","didJIP","didJIPOwner","difficulty","difficultyEnabled","difficultyEnabledRTD","difficultyOption","direction","directionStabilizationEnabled","directSay","disableAI","disableBrakes","disableCollisionWith","disableConversation","disableDebriefingStats","disableMapIndicators","disableNVGEquipment","disableRemoteSensors","disableSerialization","disableTIEquipment","disableUAVConnectability","disableUserInput","displayAddEventHandler","displayChild","displayCtrl","displayParent","displayRemoveAllEventHandlers","displayRemoveEventHandler","displaySetEventHandler","displayUniqueName","displayUpdate","dissolveTeam","distance","distance2D","distanceSqr","distributionRegion","do3DENAction","doArtilleryFire","doFire","doFollow","doFSM","doGetOut","doMove","doorPhase","doStop","doSuppressiveFire","doTarget","doWatch","drawArrow","drawEllipse","drawIcon","drawIcon3D","drawLaser","drawLine","drawLine3D","drawLink","drawLocation","drawPolygon","drawRectangle","drawTriangle","driver","drop","dynamicSimulationDistance","dynamicSimulationDistanceCoef","dynamicSimulationEnabled","dynamicSimulationSystemEnabled","echo","edit3DENMissionAttributes","editObject","editorSetEventHandler","effectiveCommander","elevatePeriscope","emptyPositions","enableAI","enableAIFeature","enableAimPrecision","enableAttack","enableAudioFeature","enableAutoStartUpRTD","enableAutoTrimRTD","enableCamShake","enableCaustics","enableChannel","enableCollisionWith","enableCopilot","enableDebriefingStats","enableDiagLegend","enableDirectionStabilization","enableDynamicSimulation","enableDynamicSimulationSystem","enableEndDialog","enableEngineArtillery","enableEnvironment","enableFatigue","enableGunLights","enableInfoPanelComponent","enableIRLasers","enableMimics","enablePersonTurret","enableRadio","enableReload","enableRopeAttach","enableSatNormalOnDetail","enableSaving","enableSentences","enableSimulation","enableSimulationGlobal","enableStamina","enableStressDamage","enableTeamSwitch","enableTraffic","enableUAVConnectability","enableUAVWaypoints","enableVehicleCargo","enableVehicleSensor","enableWeaponDisassembly","endLoadingScreen","endMission","engineOn","enginesIsOnRTD","enginesPowerRTD","enginesRpmRTD","enginesTorqueRTD","entities","environmentEnabled","environmentVolume","equipmentDisabled","estimatedEndServerTime","estimatedTimeLeft","evalObjectArgument","everyBackpack","everyContainer","exec","execEditorScript","execFSM","execVM","exp","expectedDestination","exportJIPMessages","eyeDirection","eyePos","face","faction","fadeEnvironment","fadeMusic","fadeRadio","fadeSound","fadeSpeech","failMission","fileExists","fillWeaponsFromPool","find","findAny","findCover","findDisplay","findEditorObject","findEmptyPosition","findEmptyPositionReady","findIf","findNearestEnemy","finishMissionInit","finite","fire","fireAtTarget","firstBackpack","flag","flagAnimationPhase","flagOwner","flagSide","flagTexture","flatten","fleeing","floor","flyInHeight","flyInHeightASL","focusedCtrl","fog","fogForecast","fogParams","forceAddUniform","forceAtPositionRTD","forceCadetDifficulty","forcedMap","forceEnd","forceFlagTexture","forceFollowRoad","forceGeneratorRTD","forceMap","forceRespawn","forceSpeed","forceUnicode","forceWalk","forceWeaponFire","forceWeatherChange","forEachMember","forEachMemberAgent","forEachMemberTeam","forgetTarget","format","formation","formationDirection","formationLeader","formationMembers","formationPosition","formationTask","formatText","formLeader","freeExtension","freeLook","fromEditor","fuel","fullCrew","gearIDCAmmoCount","gearSlotAmmoCount","gearSlotData","gestureState","get","get3DENActionState","get3DENAttribute","get3DENCamera","get3DENConnections","get3DENEntity","get3DENEntityID","get3DENGrid","get3DENIconsVisible","get3DENLayerEntities","get3DENLinesVisible","get3DENMissionAttribute","get3DENMouseOver","get3DENSelected","getAimingCoef","getAllEnv3DSoundControllers","getAllEnvSoundControllers","getAllHitPointsDamage","getAllOwnedMines","getAllPylonsInfo","getAllSoundControllers","getAllUnitTraits","getAmmoCargo","getAnimAimPrecision","getAnimSpeedCoef","getArray","getArtilleryAmmo","getArtilleryComputerSettings","getArtilleryETA","getAssetDLCInfo","getAssignedCuratorLogic","getAssignedCuratorUnit","getAttackTarget","getAudioOptionVolumes","getBackpackCargo","getBleedingRemaining","getBurningValue","getCalculatePlayerVisibilityByFriendly","getCameraViewDirection","getCargoIndex","getCenterOfMass","getClientState","getClientStateNumber","getCompatiblePylonMagazines","getConnectedUAV","getConnectedUAVUnit","getContainerMaxLoad","getCorpse","getCruiseControl","getCursorObjectParams","getCustomAimCoef","getCustomSoundController","getCustomSoundControllerCount","getDammage","getDebriefingText","getDescription","getDir","getDirVisual","getDiverState","getDLCAssetsUsage","getDLCAssetsUsageByName","getDLCs","getDLCUsageTime","getEditorCamera","getEditorMode","getEditorObjectScope","getElevationOffset","getEngineTargetRPMRTD","getEnv3DSoundController","getEnvSoundController","getEventHandlerInfo","getFatigue","getFieldManualStartPage","getForcedFlagTexture","getForcedSpeed","getFriend","getFSMVariable","getFuelCargo","getGraphValues","getGroupIcon","getGroupIconParams","getGroupIcons","getHideFrom","getHit","getHitIndex","getHitPointDamage","getItemCargo","getLighting","getLightingAt","getLoadedModsInfo","getMagazineCargo","getMarkerColor","getMarkerPos","getMarkerSize","getMarkerType","getMass","getMissionConfig","getMissionConfigValue","getMissionDLCs","getMissionLayerEntities","getMissionLayers","getMissionPath","getModelInfo","getMousePosition","getMusicPlayedTime","getNumber","getObjectArgument","getObjectChildren","getObjectDLC","getObjectFOV","getObjectID","getObjectMaterials","getObjectProxy","getObjectScale","getObjectTextures","getObjectType","getObjectViewDistance","getOpticsMode","getOrDefault","getOrDefaultCall","getOxygenRemaining","getPersonUsedDLCs","getPilotCameraDirection","getPilotCameraPosition","getPilotCameraRotation","getPilotCameraTarget","getPiPViewDistance","getPlateNumber","getPlayerChannel","getPlayerID","getPlayerScores","getPlayerUID","getPlayerVoNVolume","getPos","getPosASL","getPosASLVisual","getPosASLW","getPosATL","getPosATLVisual","getPosVisual","getPosWorld","getPosWorldVisual","getPylonMagazines","getRelDir","getRelPos","getRemoteSensorsDisabled","getRepairCargo","getResolution","getRoadInfo","getRotorBrakeRTD","getSensorTargets","getSensorThreats","getShadowDistance","getShotParents","getSlingLoad","getSoundController","getSoundControllerResult","getSpeed","getStamina","getStatValue","getSteamFriendsServers","getSubtitleOptions","getSuppression","getTerrainGrid","getTerrainHeight","getTerrainHeightASL","getTerrainInfo","getText","getTextRaw","getTextureInfo","getTextWidth","getTiParameters","getTotalDLCUsageTime","getTrimOffsetRTD","getTurretLimits","getTurretOpticsMode","getUnitFreefallInfo","getUnitLoadout","getUnitTrait","getUnloadInCombat","getUserInfo","getUserMFDText","getUserMFDValue","getVariable","getVehicleCargo","getVehicleTiPars","getWeaponCargo","getWeaponSway","getWingsOrientationRTD","getWingsPositionRTD","getWPPos","glanceAt","globalChat","globalRadio","goggles","goto","group","groupChat","groupFromNetId","groupIconSelectable","groupIconsVisible","groupID","groupOwner","groupRadio","groups","groupSelectedUnits","groupSelectUnit","gunner","gusts","halt","handgunItems","handgunMagazine","handgunWeapon","handsHit","hashValue","hasInterface","hasPilotCamera","hasWeapon","hcAllGroups","hcGroupParams","hcLeader","hcRemoveAllGroups","hcRemoveGroup","hcSelected","hcSelectGroup","hcSetGroup","hcShowBar","hcShownBar","headgear","hideBody","hideObject","hideObjectGlobal","hideSelection","hint","hintC","hintCadet","hintSilent","hmd","hostMission","htmlLoad","HUDMovementLevels","humidity","image","importAllGroups","importance","in","inArea","inAreaArray","incapacitatedState","inflame","inflamed","infoPanel","infoPanelComponentEnabled","infoPanelComponents","infoPanels","inGameUISetEventHandler","inheritsFrom","initAmbientLife","inPolygon","inputAction","inputController","inputMouse","inRangeOfArtillery","insert","insertEditorObject","intersect","is3DEN","is3DENMultiplayer","is3DENPreview","isAbleToBreathe","isActionMenuVisible","isAgent","isAimPrecisionEnabled","isAllowedCrewInImmobile","isArray","isAutoHoverOn","isAutonomous","isAutoStartUpEnabledRTD","isAutotest","isAutoTrimOnRTD","isAwake","isBleeding","isBurning","isClass","isCollisionLightOn","isCopilotEnabled","isDamageAllowed","isDedicated","isDLCAvailable","isEngineOn","isEqualRef","isEqualTo","isEqualType","isEqualTypeAll","isEqualTypeAny","isEqualTypeArray","isEqualTypeParams","isFilePatchingEnabled","isFinal","isFlashlightOn","isFlatEmpty","isForcedWalk","isFormationLeader","isGameFocused","isGamePaused","isGroupDeletedWhenEmpty","isHidden","isInRemainsCollector","isInstructorFigureEnabled","isIRLaserOn","isKeyActive","isKindOf","isLaserOn","isLightOn","isLocalized","isManualFire","isMarkedForCollection","isMissionProfileNamespaceLoaded","isMultiplayer","isMultiplayerSolo","isNil","isNotEqualRef","isNotEqualTo","isNull","isNumber","isObjectHidden","isObjectRTD","isOnRoad","isPiPEnabled","isPlayer","isRealTime","isRemoteExecuted","isRemoteExecutedJIP","isSaving","isSensorTargetConfirmed","isServer","isShowing3DIcons","isSimpleObject","isSprintAllowed","isStaminaEnabled","isSteamMission","isSteamOverlayEnabled","isStreamFriendlyUIEnabled","isStressDamageEnabled","isText","isTouchingGround","isTurnedOut","isTutHintsEnabled","isUAVConnectable","isUAVConnected","isUIContext","isUniformAllowed","isVehicleCargo","isVehicleRadarOn","isVehicleSensorEnabled","isWalking","isWeaponDeployed","isWeaponRested","itemCargo","items","itemsWithMagazines","join","joinAs","joinAsSilent","joinSilent","joinString","kbAddDatabase","kbAddDatabaseTargets","kbAddTopic","kbHasTopic","kbReact","kbRemoveTopic","kbTell","kbWasSaid","keyImage","keyName","keys","knowsAbout","land","landAt","landResult","language","laserTarget","lbAdd","lbClear","lbColor","lbColorRight","lbCurSel","lbData","lbDelete","lbIsSelected","lbPicture","lbPictureRight","lbSelection","lbSetColor","lbSetColorRight","lbSetCurSel","lbSetData","lbSetPicture","lbSetPictureColor","lbSetPictureColorDisabled","lbSetPictureColorSelected","lbSetPictureRight","lbSetPictureRightColor","lbSetPictureRightColorDisabled","lbSetPictureRightColorSelected","lbSetSelectColor","lbSetSelectColorRight","lbSetSelected","lbSetText","lbSetTextRight","lbSetTooltip","lbSetValue","lbSize","lbSort","lbSortBy","lbSortByValue","lbText","lbTextRight","lbTooltip","lbValue","leader","leaderboardDeInit","leaderboardGetRows","leaderboardInit","leaderboardRequestRowsFriends","leaderboardRequestRowsGlobal","leaderboardRequestRowsGlobalAroundUser","leaderboardsRequestUploadScore","leaderboardsRequestUploadScoreKeepBest","leaderboardState","leaveVehicle","libraryCredits","libraryDisclaimers","lifeState","lightAttachObject","lightDetachObject","lightIsOn","lightnings","limitSpeed","linearConversion","lineIntersects","lineIntersectsObjs","lineIntersectsSurfaces","lineIntersectsWith","linkItem","list","listObjects","listRemoteTargets","listVehicleSensors","ln","lnbAddArray","lnbAddColumn","lnbAddRow","lnbClear","lnbColor","lnbColorRight","lnbCurSelRow","lnbData","lnbDeleteColumn","lnbDeleteRow","lnbGetColumnsPosition","lnbPicture","lnbPictureRight","lnbSetColor","lnbSetColorRight","lnbSetColumnsPos","lnbSetCurSelRow","lnbSetData","lnbSetPicture","lnbSetPictureColor","lnbSetPictureColorRight","lnbSetPictureColorSelected","lnbSetPictureColorSelectedRight","lnbSetPictureRight","lnbSetText","lnbSetTextRight","lnbSetTooltip","lnbSetValue","lnbSize","lnbSort","lnbSortBy","lnbSortByValue","lnbText","lnbTextRight","lnbValue","load","loadAbs","loadBackpack","loadConfig","loadFile","loadGame","loadIdentity","loadMagazine","loadOverlay","loadStatus","loadUniform","loadVest","localize","localNamespace","locationPosition","lock","lockCameraTo","lockCargo","lockDriver","locked","lockedCameraTo","lockedCargo","lockedDriver","lockedInventory","lockedTurret","lockIdentity","lockInventory","lockTurret","lockWp","log","logEntities","logNetwork","logNetworkTerminate","lookAt","lookAtPos","magazineCargo","magazines","magazinesAllTurrets","magazinesAmmo","magazinesAmmoCargo","magazinesAmmoFull","magazinesDetail","magazinesDetailBackpack","magazinesDetailUniform","magazinesDetailVest","magazinesTurret","magazineTurretAmmo","mapAnimAdd","mapAnimClear","mapAnimCommit","mapAnimDone","mapCenterOnCamera","mapGridPosition","markAsFinishedOnSteam","markerAlpha","markerBrush","markerChannel","markerColor","markerDir","markerPolyline","markerPos","markerShadow","markerShape","markerSize","markerText","markerType","matrixMultiply","matrixTranspose","max","maxLoad","members","menuAction","menuAdd","menuChecked","menuClear","menuCollapse","menuData","menuDelete","menuEnable","menuEnabled","menuExpand","menuHover","menuPicture","menuSetAction","menuSetCheck","menuSetData","menuSetPicture","menuSetShortcut","menuSetText","menuSetURL","menuSetValue","menuShortcut","menuShortcutText","menuSize","menuSort","menuText","menuURL","menuValue","merge","min","mineActive","mineDetectedBy","missileTarget","missileTargetPos","missionConfigFile","missionDifficulty","missionEnd","missionName","missionNameSource","missionNamespace","missionProfileNamespace","missionStart","missionVersion","mod","modelToWorld","modelToWorldVisual","modelToWorldVisualWorld","modelToWorldWorld","modParams","moonIntensity","moonPhase","morale","move","move3DENCamera","moveInAny","moveInCargo","moveInCommander","moveInDriver","moveInGunner","moveInTurret","moveObjectToEnd","moveOut","moveTime","moveTo","moveToCompleted","moveToFailed","musicVolume","name","namedProperties","nameSound","nearEntities","nearestBuilding","nearestLocation","nearestLocations","nearestLocationWithDubbing","nearestMines","nearestObject","nearestObjects","nearestTerrainObjects","nearObjects","nearObjectsReady","nearRoads","nearSupplies","nearTargets","needReload","needService","netId","netObjNull","newOverlay","nextMenuItemIndex","nextWeatherChange","nMenuItems","not","numberOfEnginesRTD","numberToDate","objectCurators","objectFromNetId","objectParent","objStatus","onBriefingGroup","onBriefingNotes","onBriefingPlan","onBriefingTeamSwitch","onCommandModeChanged","onDoubleClick","onEachFrame","onGroupIconClick","onGroupIconOverEnter","onGroupIconOverLeave","onHCGroupSelectionChanged","onMapSingleClick","onPlayerConnected","onPlayerDisconnected","onPreloadFinished","onPreloadStarted","onShowNewObject","onTeamSwitch","openCuratorInterface","openDLCPage","openGPS","openMap","openSteamApp","openYoutubeVideo","or","orderGetIn","overcast","overcastForecast","owner","param","params","parseNumber","parseSimpleArray","parseText","parsingNamespace","particlesQuality","periscopeElevation","pickWeaponPool","pitch","pixelGrid","pixelGridBase","pixelGridNoUIScale","pixelH","pixelW","playableSlotsNumber","playableUnits","playAction","playActionNow","player","playerRespawnTime","playerSide","playersNumber","playGesture","playMission","playMove","playMoveNow","playMusic","playScriptedMission","playSound","playSound3D","playSoundUI","pose","position","positionCameraToWorld","posScreenToWorld","posWorldToScreen","ppEffectAdjust","ppEffectCommit","ppEffectCommitted","ppEffectCreate","ppEffectDestroy","ppEffectEnable","ppEffectEnabled","ppEffectForceInNVG","precision","preloadCamera","preloadObject","preloadSound","preloadTitleObj","preloadTitleRsc","preprocessFile","preprocessFileLineNumbers","primaryWeapon","primaryWeaponItems","primaryWeaponMagazine","priority","processDiaryLink","productVersion","profileName","profileNamespace","profileNameSteam","progressLoadingScreen","progressPosition","progressSetPosition","publicVariable","publicVariableClient","publicVariableServer","pushBack","pushBackUnique","putWeaponPool","queryItemsPool","queryMagazinePool","queryWeaponPool","rad","radioChannelAdd","radioChannelCreate","radioChannelInfo","radioChannelRemove","radioChannelSetCallSign","radioChannelSetLabel","radioEnabled","radioVolume","rain","rainbow","rainParams","random","rank","rankId","rating","rectangular","regexFind","regexMatch","regexReplace","registeredTasks","registerTask","reload","reloadEnabled","remoteControl","remoteExec","remoteExecCall","remoteExecutedOwner","remove3DENConnection","remove3DENEventHandler","remove3DENLayer","removeAction","removeAll3DENEventHandlers","removeAllActions","removeAllAssignedItems","removeAllBinocularItems","removeAllContainers","removeAllCuratorAddons","removeAllCuratorCameraAreas","removeAllCuratorEditingAreas","removeAllEventHandlers","removeAllHandgunItems","removeAllItems","removeAllItemsWithMagazines","removeAllMissionEventHandlers","removeAllMPEventHandlers","removeAllMusicEventHandlers","removeAllOwnedMines","removeAllPrimaryWeaponItems","removeAllSecondaryWeaponItems","removeAllUserActionEventHandlers","removeAllWeapons","removeBackpack","removeBackpackGlobal","removeBinocularItem","removeCuratorAddons","removeCuratorCameraArea","removeCuratorEditableObjects","removeCuratorEditingArea","removeDiaryRecord","removeDiarySubject","removeDrawIcon","removeDrawLinks","removeEventHandler","removeFromRemainsCollector","removeGoggles","removeGroupIcon","removeHandgunItem","removeHeadgear","removeItem","removeItemFromBackpack","removeItemFromUniform","removeItemFromVest","removeItems","removeMagazine","removeMagazineGlobal","removeMagazines","removeMagazinesTurret","removeMagazineTurret","removeMenuItem","removeMissionEventHandler","removeMPEventHandler","removeMusicEventHandler","removeOwnedMine","removePrimaryWeaponItem","removeSecondaryWeaponItem","removeSimpleTask","removeSwitchableUnit","removeTeamMember","removeUniform","removeUserActionEventHandler","removeVest","removeWeapon","removeWeaponAttachmentCargo","removeWeaponCargo","removeWeaponGlobal","removeWeaponTurret","reportRemoteTarget","requiredVersion","resetCamShake","resetSubgroupDirection","resize","resources","respawnVehicle","restartEditorCamera","reveal","revealMine","reverse","reversedMouseY","roadAt","roadsConnectedTo","roleDescription","ropeAttachedObjects","ropeAttachedTo","ropeAttachEnabled","ropeAttachTo","ropeCreate","ropeCut","ropeDestroy","ropeDetach","ropeEndPosition","ropeLength","ropes","ropesAttachedTo","ropeSegments","ropeUnwind","ropeUnwound","rotorsForcesRTD","rotorsRpmRTD","round","runInitScript","safeZoneH","safeZoneW","safeZoneWAbs","safeZoneX","safeZoneXAbs","safeZoneY","save3DENInventory","saveGame","saveIdentity","saveJoysticks","saveMissionProfileNamespace","saveOverlay","saveProfileNamespace","saveStatus","saveVar","savingEnabled","say","say2D","say3D","scopeName","score","scoreSide","screenshot","screenToWorld","scriptDone","scriptName","scudState","secondaryWeapon","secondaryWeaponItems","secondaryWeaponMagazine","select","selectBestPlaces","selectDiarySubject","selectedEditorObjects","selectEditorObject","selectionNames","selectionPosition","selectionVectorDirAndUp","selectLeader","selectMax","selectMin","selectNoPlayer","selectPlayer","selectRandom","selectRandomWeighted","selectWeapon","selectWeaponTurret","sendAUMessage","sendSimpleCommand","sendTask","sendTaskResult","sendUDPMessage","sentencesEnabled","serverCommand","serverCommandAvailable","serverCommandExecutable","serverName","serverNamespace","serverTime","set","set3DENAttribute","set3DENAttributes","set3DENGrid","set3DENIconsVisible","set3DENLayer","set3DENLinesVisible","set3DENLogicType","set3DENMissionAttribute","set3DENMissionAttributes","set3DENModelsVisible","set3DENObjectType","set3DENSelected","setAccTime","setActualCollectiveRTD","setAirplaneThrottle","setAirportSide","setAmmo","setAmmoCargo","setAmmoOnPylon","setAnimSpeedCoef","setAperture","setApertureNew","setArmoryPoints","setAttributes","setAutonomous","setBehaviour","setBehaviourStrong","setBleedingRemaining","setBrakesRTD","setCameraInterest","setCamShakeDefParams","setCamShakeParams","setCamUseTi","setCaptive","setCenterOfMass","setCollisionLight","setCombatBehaviour","setCombatMode","setCompassOscillation","setConvoySeparation","setCruiseControl","setCuratorCameraAreaCeiling","setCuratorCoef","setCuratorEditingAreaType","setCuratorWaypointCost","setCurrentChannel","setCurrentTask","setCurrentWaypoint","setCustomAimCoef","SetCustomMissionData","setCustomSoundController","setCustomWeightRTD","setDamage","setDammage","setDate","setDebriefingText","setDefaultCamera","setDestination","setDetailMapBlendPars","setDiaryRecordText","setDiarySubjectPicture","setDir","setDirection","setDrawIcon","setDriveOnPath","setDropInterval","setDynamicSimulationDistance","setDynamicSimulationDistanceCoef","setEditorMode","setEditorObjectScope","setEffectCondition","setEffectiveCommander","setEngineRpmRTD","setFace","setFaceanimation","setFatigue","setFeatureType","setFlagAnimationPhase","setFlagOwner","setFlagSide","setFlagTexture","setFog","setForceGeneratorRTD","setFormation","setFormationTask","setFormDir","setFriend","setFromEditor","setFSMVariable","setFuel","setFuelCargo","setGroupIcon","setGroupIconParams","setGroupIconsSelectable","setGroupIconsVisible","setGroupid","setGroupIdGlobal","setGroupOwner","setGusts","setHideBehind","setHit","setHitIndex","setHitPointDamage","setHorizonParallaxCoef","setHUDMovementLevels","setHumidity","setIdentity","setImportance","setInfoPanel","setLeader","setLightAmbient","setLightAttenuation","setLightBrightness","setLightColor","setLightConePars","setLightDayLight","setLightFlareMaxDistance","setLightFlareSize","setLightIntensity","setLightIR","setLightnings","setLightUseFlare","setLightVolumeShape","setLocalWindParams","setMagazineTurretAmmo","setMarkerAlpha","setMarkerAlphaLocal","setMarkerBrush","setMarkerBrushLocal","setMarkerColor","setMarkerColorLocal","setMarkerDir","setMarkerDirLocal","setMarkerPolyline","setMarkerPolylineLocal","setMarkerPos","setMarkerPosLocal","setMarkerShadow","setMarkerShadowLocal","setMarkerShape","setMarkerShapeLocal","setMarkerSize","setMarkerSizeLocal","setMarkerText","setMarkerTextLocal","setMarkerType","setMarkerTypeLocal","setMass","setMaxLoad","setMimic","setMissileTarget","setMissileTargetPos","setMousePosition","setMusicEffect","setMusicEventHandler","setName","setNameSound","setObjectArguments","setObjectMaterial","setObjectMaterialGlobal","setObjectProxy","setObjectScale","setObjectTexture","setObjectTextureGlobal","setObjectViewDistance","setOpticsMode","setOvercast","setOwner","setOxygenRemaining","setParticleCircle","setParticleClass","setParticleFire","setParticleParams","setParticleRandom","setPilotCameraDirection","setPilotCameraRotation","setPilotCameraTarget","setPilotLight","setPiPEffect","setPiPViewDistance","setPitch","setPlateNumber","setPlayable","setPlayerRespawnTime","setPlayerVoNVolume","setPos","setPosASL","setPosASL2","setPosASLW","setPosATL","setPosition","setPosWorld","setPylonLoadout","setPylonsPriority","setRadioMsg","setRain","setRainbow","setRandomLip","setRank","setRectangular","setRepairCargo","setRotorBrakeRTD","setShadowDistance","setShotParents","setSide","setSimpleTaskAlwaysVisible","setSimpleTaskCustomData","setSimpleTaskDescription","setSimpleTaskDestination","setSimpleTaskTarget","setSimpleTaskType","setSimulWeatherLayers","setSize","setSkill","setSlingLoad","setSoundEffect","setSpeaker","setSpeech","setSpeedMode","setStamina","setStaminaScheme","setStatValue","setSuppression","setSystemOfUnits","setTargetAge","setTaskMarkerOffset","setTaskResult","setTaskState","setTerrainGrid","setTerrainHeight","setText","setTimeMultiplier","setTiParameter","setTitleEffect","setTowParent","setTrafficDensity","setTrafficDistance","setTrafficGap","setTrafficSpeed","setTriggerActivation","setTriggerArea","setTriggerInterval","setTriggerStatements","setTriggerText","setTriggerTimeout","setTriggerType","setTurretLimits","setTurretOpticsMode","setType","setUnconscious","setUnitAbility","setUnitCombatMode","setUnitFreefallHeight","setUnitLoadout","setUnitPos","setUnitPosWeak","setUnitRank","setUnitRecoilCoefficient","setUnitTrait","setUnloadInCombat","setUserActionText","setUserMFDText","setUserMFDValue","setVariable","setVectorDir","setVectorDirAndUp","setVectorUp","setVehicleAmmo","setVehicleAmmoDef","setVehicleArmor","setVehicleCargo","setVehicleId","setVehicleLock","setVehiclePosition","setVehicleRadar","setVehicleReceiveRemoteTargets","setVehicleReportOwnPosition","setVehicleReportRemoteTargets","setVehicleTiPars","setVehicleVarName","setVelocity","setVelocityModelSpace","setVelocityTransformation","setViewDistance","setVisibleIfTreeCollapsed","setWantedRPMRTD","setWaves","setWaypointBehaviour","setWaypointCombatMode","setWaypointCompletionRadius","setWaypointDescription","setWaypointForceBehaviour","setWaypointFormation","setWaypointHousePosition","setWaypointLoiterAltitude","setWaypointLoiterRadius","setWaypointLoiterType","setWaypointName","setWaypointPosition","setWaypointScript","setWaypointSpeed","setWaypointStatements","setWaypointTimeout","setWaypointType","setWaypointVisible","setWeaponReloadingTime","setWeaponZeroing","setWind","setWindDir","setWindForce","setWindStr","setWingForceScaleRTD","setWPPos","show3DIcons","showChat","showCinemaBorder","showCommandingMenu","showCompass","showCuratorCompass","showGps","showHUD","showLegend","showMap","shownArtilleryComputer","shownChat","shownCompass","shownCuratorCompass","showNewEditorObject","shownGps","shownHUD","shownMap","shownPad","shownRadio","shownScoretable","shownSubtitles","shownUAVFeed","shownWarrant","shownWatch","showPad","showRadio","showScoretable","showSubtitles","showUAVFeed","showWarrant","showWatch","showWaypoint","showWaypoints","side","sideChat","sideRadio","simpleTasks","simulationEnabled","simulCloudDensity","simulCloudOcclusion","simulInClouds","simulWeatherSync","sin","size","sizeOf","skill","skillFinal","skipTime","sleep","sliderPosition","sliderRange","sliderSetPosition","sliderSetRange","sliderSetSpeed","sliderSpeed","slingLoadAssistantShown","soldierMagazines","someAmmo","sort","soundVolume","spawn","speaker","speechVolume","speed","speedMode","splitString","sqrt","squadParams","stance","startLoadingScreen","stop","stopEngineRTD","stopped","str","sunOrMoon","supportInfo","suppressFor","surfaceIsWater","surfaceNormal","surfaceTexture","surfaceType","swimInDepth","switchableUnits","switchAction","switchCamera","switchGesture","switchLight","switchMove","synchronizedObjects","synchronizedTriggers","synchronizedWaypoints","synchronizeObjectsAdd","synchronizeObjectsRemove","synchronizeTrigger","synchronizeWaypoint","systemChat","systemOfUnits","systemTime","systemTimeUTC","tan","targetKnowledge","targets","targetsAggregate","targetsQuery","taskAlwaysVisible","taskChildren","taskCompleted","taskCustomData","taskDescription","taskDestination","taskHint","taskMarkerOffset","taskName","taskParent","taskResult","taskState","taskType","teamMember","teamName","teams","teamSwitch","teamSwitchEnabled","teamType","terminate","terrainIntersect","terrainIntersectASL","terrainIntersectAtASL","text","textLog","textLogFormat","tg","time","timeMultiplier","titleCut","titleFadeOut","titleObj","titleRsc","titleText","toArray","toFixed","toLower","toLowerANSI","toString","toUpper","toUpperANSI","triggerActivated","triggerActivation","triggerAmmo","triggerArea","triggerAttachedVehicle","triggerAttachObject","triggerAttachVehicle","triggerDynamicSimulation","triggerInterval","triggerStatements","triggerText","triggerTimeout","triggerTimeoutCurrent","triggerType","trim","turretLocal","turretOwner","turretUnit","tvAdd","tvClear","tvCollapse","tvCollapseAll","tvCount","tvCurSel","tvData","tvDelete","tvExpand","tvExpandAll","tvIsSelected","tvPicture","tvPictureRight","tvSelection","tvSetColor","tvSetCurSel","tvSetData","tvSetPicture","tvSetPictureColor","tvSetPictureColorDisabled","tvSetPictureColorSelected","tvSetPictureRight","tvSetPictureRightColor","tvSetPictureRightColorDisabled","tvSetPictureRightColorSelected","tvSetSelectColor","tvSetSelected","tvSetText","tvSetTooltip","tvSetValue","tvSort","tvSortAll","tvSortByValue","tvSortByValueAll","tvText","tvTooltip","tvValue","type","typeName","typeOf","UAVControl","uiNamespace","uiSleep","unassignCurator","unassignItem","unassignTeam","unassignVehicle","underwater","uniform","uniformContainer","uniformItems","uniformMagazines","uniqueUnitItems","unitAddons","unitAimPosition","unitAimPositionVisual","unitBackpack","unitCombatMode","unitIsUAV","unitPos","unitReady","unitRecoilCoefficient","units","unitsBelowHeight","unitTurret","unlinkItem","unlockAchievement","unregisterTask","updateDrawIcon","updateMenuItem","updateObjectTree","useAIOperMapObstructionTest","useAISteeringComponent","useAudioTimeForMoves","userInputDisabled","values","vectorAdd","vectorCos","vectorCrossProduct","vectorDiff","vectorDir","vectorDirVisual","vectorDistance","vectorDistanceSqr","vectorDotProduct","vectorFromTo","vectorLinearConversion","vectorMagnitude","vectorMagnitudeSqr","vectorModelToWorld","vectorModelToWorldVisual","vectorMultiply","vectorNormalized","vectorUp","vectorUpVisual","vectorWorldToModel","vectorWorldToModelVisual","vehicle","vehicleCargoEnabled","vehicleChat","vehicleMoveInfo","vehicleRadio","vehicleReceiveRemoteTargets","vehicleReportOwnPosition","vehicleReportRemoteTargets","vehicles","vehicleVarName","velocity","velocityModelSpace","verifySignature","vest","vestContainer","vestItems","vestMagazines","viewDistance","visibleCompass","visibleGps","visibleMap","visiblePosition","visiblePositionASL","visibleScoretable","visibleWatch","waves","waypointAttachedObject","waypointAttachedVehicle","waypointAttachObject","waypointAttachVehicle","waypointBehaviour","waypointCombatMode","waypointCompletionRadius","waypointDescription","waypointForceBehaviour","waypointFormation","waypointHousePosition","waypointLoiterAltitude","waypointLoiterRadius","waypointLoiterType","waypointName","waypointPosition","waypoints","waypointScript","waypointsEnabledUAV","waypointShow","waypointSpeed","waypointStatements","waypointTimeout","waypointTimeoutCurrent","waypointType","waypointVisible","weaponAccessories","weaponAccessoriesCargo","weaponCargo","weaponDirection","weaponInertia","weaponLowered","weaponReloadingTime","weapons","weaponsInfo","weaponsItems","weaponsItemsCargo","weaponState","weaponsTurret","weightRTD","WFSideText","wind","windDir","windRTD","windStr","wingsForcesRTD","worldName","worldSize","worldToModel","worldToModelVisual","worldToScreen"],c={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:"define undef ifdef ifndef else endif include if",contains:[{begin:/\\\n/,relevance:0},e.inherit(r,{className:"string"}),{begin:/<[^\n>]*>/,end:/$/,illegal:"\\n"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]};return{name:"SQF",case_insensitive:!0,keywords:{keyword:a,built_in:u,literal:l},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.NUMBER_MODE,t,n,r,c],illegal:[/\$[^a-fA-F0-9]/,/\w\$/,/\?/,/@/,/ \| /,/[a-zA-Z_]\./,/\:\=/,/\[\:/]}}var Hj=$j;function Vj(e){const t=e.regex,n=e.COMMENT("--","$"),r={className:"string",variants:[{begin:/'/,end:/'/,contains:[{begin:/''/}]}]},a={begin:/"/,end:/"/,contains:[{begin:/""/}]},l=["true","false","unknown"],u=["double precision","large object","with timezone","without timezone"],c=["bigint","binary","blob","boolean","char","character","clob","date","dec","decfloat","decimal","float","int","integer","interval","nchar","nclob","national","numeric","real","row","smallint","time","timestamp","varchar","varying","varbinary"],d=["add","asc","collation","desc","final","first","last","view"],g=["abs","acos","all","allocate","alter","and","any","are","array","array_agg","array_max_cardinality","as","asensitive","asin","asymmetric","at","atan","atomic","authorization","avg","begin","begin_frame","begin_partition","between","bigint","binary","blob","boolean","both","by","call","called","cardinality","cascaded","case","cast","ceil","ceiling","char","char_length","character","character_length","check","classifier","clob","close","coalesce","collate","collect","column","commit","condition","connect","constraint","contains","convert","copy","corr","corresponding","cos","cosh","count","covar_pop","covar_samp","create","cross","cube","cume_dist","current","current_catalog","current_date","current_default_transform_group","current_path","current_role","current_row","current_schema","current_time","current_timestamp","current_path","current_role","current_transform_group_for_type","current_user","cursor","cycle","date","day","deallocate","dec","decimal","decfloat","declare","default","define","delete","dense_rank","deref","describe","deterministic","disconnect","distinct","double","drop","dynamic","each","element","else","empty","end","end_frame","end_partition","end-exec","equals","escape","every","except","exec","execute","exists","exp","external","extract","false","fetch","filter","first_value","float","floor","for","foreign","frame_row","free","from","full","function","fusion","get","global","grant","group","grouping","groups","having","hold","hour","identity","in","indicator","initial","inner","inout","insensitive","insert","int","integer","intersect","intersection","interval","into","is","join","json_array","json_arrayagg","json_exists","json_object","json_objectagg","json_query","json_table","json_table_primitive","json_value","lag","language","large","last_value","lateral","lead","leading","left","like","like_regex","listagg","ln","local","localtime","localtimestamp","log","log10","lower","match","match_number","match_recognize","matches","max","member","merge","method","min","minute","mod","modifies","module","month","multiset","national","natural","nchar","nclob","new","no","none","normalize","not","nth_value","ntile","null","nullif","numeric","octet_length","occurrences_regex","of","offset","old","omit","on","one","only","open","or","order","out","outer","over","overlaps","overlay","parameter","partition","pattern","per","percent","percent_rank","percentile_cont","percentile_disc","period","portion","position","position_regex","power","precedes","precision","prepare","primary","procedure","ptf","range","rank","reads","real","recursive","ref","references","referencing","regr_avgx","regr_avgy","regr_count","regr_intercept","regr_r2","regr_slope","regr_sxx","regr_sxy","regr_syy","release","result","return","returns","revoke","right","rollback","rollup","row","row_number","rows","running","savepoint","scope","scroll","search","second","seek","select","sensitive","session_user","set","show","similar","sin","sinh","skip","smallint","some","specific","specifictype","sql","sqlexception","sqlstate","sqlwarning","sqrt","start","static","stddev_pop","stddev_samp","submultiset","subset","substring","substring_regex","succeeds","sum","symmetric","system","system_time","system_user","table","tablesample","tan","tanh","then","time","timestamp","timezone_hour","timezone_minute","to","trailing","translate","translate_regex","translation","treat","trigger","trim","trim_array","true","truncate","uescape","union","unique","unknown","unnest","update","upper","user","using","value","values","value_of","var_pop","var_samp","varbinary","varchar","varying","versioning","when","whenever","where","width_bucket","window","with","within","without","year"],f=["abs","acos","array_agg","asin","atan","avg","cast","ceil","ceiling","coalesce","corr","cos","cosh","count","covar_pop","covar_samp","cume_dist","dense_rank","deref","element","exp","extract","first_value","floor","json_array","json_arrayagg","json_exists","json_object","json_objectagg","json_query","json_table","json_table_primitive","json_value","lag","last_value","lead","listagg","ln","log","log10","lower","max","min","mod","nth_value","ntile","nullif","percent_rank","percentile_cont","percentile_disc","position","position_regex","power","rank","regr_avgx","regr_avgy","regr_count","regr_intercept","regr_r2","regr_slope","regr_sxx","regr_sxy","regr_syy","row_number","sin","sinh","sqrt","stddev_pop","stddev_samp","substring","substring_regex","sum","tan","tanh","translate","translate_regex","treat","trim","trim_array","unnest","upper","value_of","var_pop","var_samp","width_bucket"],h=["current_catalog","current_date","current_default_transform_group","current_path","current_role","current_schema","current_transform_group_for_type","current_user","session_user","system_time","system_user","current_time","localtime","current_timestamp","localtimestamp"],p=["create table","insert into","primary key","foreign key","not null","alter table","add constraint","grouping sets","on overflow","character set","respect nulls","ignore nulls","nulls first","nulls last","depth first","breadth first"],m=f,y=[...g,...d].filter(O=>!f.includes(O)),b={className:"variable",begin:/@[a-z0-9][a-z0-9_]*/},C={className:"operator",begin:/[-+*/=%^~]|&&?|\|\|?|!=?|<(?:=>?|<|>)?|>[>=]?/,relevance:0},E={begin:t.concat(/\b/,t.either(...m),/\s*\(/),relevance:0,keywords:{built_in:m}};function v(O,{exceptions:S,when:A}={}){const P=A;return S=S||[],O.map(F=>F.match(/\|\d+$/)||S.includes(F)?F:P(F)?`${F}|0`:F)}return{name:"SQL",case_insensitive:!0,illegal:/[{}]|<\//,keywords:{$pattern:/\b[\w\.]+/,keyword:v(y,{when:O=>O.length<3}),literal:l,type:c,built_in:h},contains:[{begin:t.either(...p),relevance:0,keywords:{$pattern:/[\w\.]+/,keyword:y.concat(p),literal:l,type:c}},{className:"type",begin:t.either(...u)},E,b,r,a,e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,n,C]}}var Wj=Vj;function Kj(e){const t=e.regex,n=["functions","model","data","parameters","quantities","transformed","generated"],r=["for","in","if","else","while","break","continue","return"],a=["array","complex","int","real","vector","ordered","positive_ordered","simplex","unit_vector","row_vector","matrix","cholesky_factor_corr|10","cholesky_factor_cov|10","corr_matrix|10","cov_matrix|10","void"],l=["Phi","Phi_approx","abs","acos","acosh","add_diag","algebra_solver","algebra_solver_newton","append_array","append_col","append_row","asin","asinh","atan","atan2","atanh","bessel_first_kind","bessel_second_kind","binary_log_loss","binomial_coefficient_log","block","cbrt","ceil","chol2inv","cholesky_decompose","choose","col","cols","columns_dot_product","columns_dot_self","conj","cos","cosh","cov_exp_quad","crossprod","csr_extract_u","csr_extract_v","csr_extract_w","csr_matrix_times_vector","csr_to_dense_matrix","cumulative_sum","determinant","diag_matrix","diag_post_multiply","diag_pre_multiply","diagonal","digamma","dims","distance","dot_product","dot_self","eigenvalues_sym","eigenvectors_sym","erf","erfc","exp","exp2","expm1","fabs","falling_factorial","fdim","floor","fma","fmax","fmin","fmod","gamma_p","gamma_q","generalized_inverse","get_imag","get_lp","get_real","head","hmm_hidden_state_prob","hmm_marginal","hypot","identity_matrix","inc_beta","int_step","integrate_1d","integrate_ode","integrate_ode_adams","integrate_ode_bdf","integrate_ode_rk45","inv","inv_Phi","inv_cloglog","inv_logit","inv_sqrt","inv_square","inverse","inverse_spd","is_inf","is_nan","lambert_w0","lambert_wm1","lbeta","lchoose","ldexp","lgamma","linspaced_array","linspaced_int_array","linspaced_row_vector","linspaced_vector","lmgamma","lmultiply","log","log1m","log1m_exp","log1m_inv_logit","log1p","log1p_exp","log_determinant","log_diff_exp","log_falling_factorial","log_inv_logit","log_inv_logit_diff","log_mix","log_modified_bessel_first_kind","log_rising_factorial","log_softmax","log_sum_exp","logit","machine_precision","map_rect","matrix_exp","matrix_exp_multiply","matrix_power","max","mdivide_left_spd","mdivide_left_tri_low","mdivide_right_spd","mdivide_right_tri_low","mean","min","modified_bessel_first_kind","modified_bessel_second_kind","multiply_log","multiply_lower_tri_self_transpose","negative_infinity","norm","not_a_number","num_elements","ode_adams","ode_adams_tol","ode_adjoint_tol_ctl","ode_bdf","ode_bdf_tol","ode_ckrk","ode_ckrk_tol","ode_rk45","ode_rk45_tol","one_hot_array","one_hot_int_array","one_hot_row_vector","one_hot_vector","ones_array","ones_int_array","ones_row_vector","ones_vector","owens_t","polar","positive_infinity","pow","print","prod","proj","qr_Q","qr_R","qr_thin_Q","qr_thin_R","quad_form","quad_form_diag","quad_form_sym","quantile","rank","reduce_sum","reject","rep_array","rep_matrix","rep_row_vector","rep_vector","reverse","rising_factorial","round","row","rows","rows_dot_product","rows_dot_self","scale_matrix_exp_multiply","sd","segment","sin","singular_values","sinh","size","softmax","sort_asc","sort_desc","sort_indices_asc","sort_indices_desc","sqrt","square","squared_distance","step","sub_col","sub_row","sum","svd_U","svd_V","symmetrize_from_lower_tri","tail","tan","tanh","target","tcrossprod","tgamma","to_array_1d","to_array_2d","to_complex","to_matrix","to_row_vector","to_vector","trace","trace_gen_quad_form","trace_quad_form","trigamma","trunc","uniform_simplex","variance","zeros_array","zeros_int_array","zeros_row_vector"],u=["bernoulli","bernoulli_logit","bernoulli_logit_glm","beta","beta_binomial","beta_proportion","binomial","binomial_logit","categorical","categorical_logit","categorical_logit_glm","cauchy","chi_square","dirichlet","discrete_range","double_exponential","exp_mod_normal","exponential","frechet","gamma","gaussian_dlm_obs","gumbel","hmm_latent","hypergeometric","inv_chi_square","inv_gamma","inv_wishart","lkj_corr","lkj_corr_cholesky","logistic","lognormal","multi_gp","multi_gp_cholesky","multi_normal","multi_normal_cholesky","multi_normal_prec","multi_student_t","multinomial","multinomial_logit","neg_binomial","neg_binomial_2","neg_binomial_2_log","neg_binomial_2_log_glm","normal","normal_id_glm","ordered_logistic","ordered_logistic_glm","ordered_probit","pareto","pareto_type_2","poisson","poisson_log","poisson_log_glm","rayleigh","scaled_inv_chi_square","skew_double_exponential","skew_normal","std_normal","student_t","uniform","von_mises","weibull","wiener","wishart"],c=e.COMMENT(/\/\*/,/\*\//,{relevance:0,contains:[{scope:"doctag",match:/@(return|param)/}]}),d={scope:"meta",begin:/#include\b/,end:/$/,contains:[{match:/[a-z][a-z-._]+/,scope:"string"},e.C_LINE_COMMENT_MODE]},g=["lower","upper","offset","multiplier"];return{name:"Stan",aliases:["stanfuncs"],keywords:{$pattern:e.IDENT_RE,title:n,type:a,keyword:r,built_in:l},contains:[e.C_LINE_COMMENT_MODE,d,e.HASH_COMMENT_MODE,c,{scope:"built_in",match:/\s(pi|e|sqrt2|log2|log10)(?=\()/,relevance:0},{match:t.concat(/[<,]\s*/,t.either(...g),/\s*=/),keywords:g},{scope:"keyword",match:/\btarget(?=\s*\+=)/},{match:[/~\s*/,t.either(...u),/(?:\(\))/,/\s*T(?=\s*\[)/],scope:{2:"built_in",4:"keyword"}},{scope:"built_in",keywords:u,begin:t.concat(/\w*/,t.either(...u),/(_lpdf|_lupdf|_lpmf|_cdf|_lcdf|_lccdf|_qf)(?=\s*[\(.*\)])/)},{begin:[/~/,/\s*/,t.concat(t.either(...u),/(?=\s*[\(.*\)])/)],scope:{3:"built_in"}},{begin:[/~/,/\s*\w+(?=\s*[\(.*\)])/,"(?!.*/\b("+t.either(...u)+")\b)"],scope:{2:"title.function"}},{scope:"title.function",begin:/\w*(_lpdf|_lupdf|_lpmf|_cdf|_lcdf|_lccdf|_qf)(?=\s*[\(.*\)])/},{scope:"number",match:t.concat(/(?:\b\d+(?:_\d+)*(?:\.(?:\d+(?:_\d+)*)?)?|\B\.\d+(?:_\d+)*)/,/(?:[eE][+-]?\d+(?:_\d+)*)?i?(?!\w)/),relevance:0},{scope:"string",begin:/"/,end:/"/}]}}var Qj=Kj;function jj(e){return{name:"Stata",aliases:["do","ado"],case_insensitive:!0,keywords:"if else in foreach for forv forva forval forvalu forvalue forvalues by bys bysort xi quietly qui capture about ac ac_7 acprplot acprplot_7 adjust ado adopath adoupdate alpha ameans an ano anov anova anova_estat anova_terms anovadef aorder ap app appe appen append arch arch_dr arch_estat arch_p archlm areg areg_p args arima arima_dr arima_estat arima_p as asmprobit asmprobit_estat asmprobit_lf asmprobit_mfx__dlg asmprobit_p ass asse asser assert avplot avplot_7 avplots avplots_7 bcskew0 bgodfrey bias binreg bip0_lf biplot bipp_lf bipr_lf bipr_p biprobit bitest bitesti bitowt blogit bmemsize boot bootsamp bootstrap bootstrap_8 boxco_l boxco_p boxcox boxcox_6 boxcox_p bprobit br break brier bro brow brows browse brr brrstat bs bs_7 bsampl_w bsample bsample_7 bsqreg bstat bstat_7 bstat_8 bstrap bstrap_7 bubble bubbleplot ca ca_estat ca_p cabiplot camat canon canon_8 canon_8_p canon_estat canon_p cap caprojection capt captu captur capture cat cc cchart cchart_7 cci cd censobs_table centile cf char chdir checkdlgfiles checkestimationsample checkhlpfiles checksum chelp ci cii cl class classutil clear cli clis clist clo clog clog_lf clog_p clogi clogi_sw clogit clogit_lf clogit_p clogitp clogl_sw cloglog clonevar clslistarray cluster cluster_measures cluster_stop cluster_tree cluster_tree_8 clustermat cmdlog cnr cnre cnreg cnreg_p cnreg_sw cnsreg codebook collaps4 collapse colormult_nb colormult_nw compare compress conf confi confir confirm conren cons const constr constra constrai constrain constraint continue contract copy copyright copysource cor corc corr corr2data corr_anti corr_kmo corr_smc corre correl correla correlat correlate corrgram cou coun count cox cox_p cox_sw coxbase coxhaz coxvar cprplot cprplot_7 crc cret cretu cretur creturn cross cs cscript cscript_log csi ct ct_is ctset ctst_5 ctst_st cttost cumsp cumsp_7 cumul cusum cusum_7 cutil d|0 datasig datasign datasigna datasignat datasignatu datasignatur datasignature datetof db dbeta de dec deco decod decode deff des desc descr descri describ describe destring dfbeta dfgls dfuller di di_g dir dirstats dis discard disp disp_res disp_s displ displa display distinct do doe doed doedi doedit dotplot dotplot_7 dprobit drawnorm drop ds ds_util dstdize duplicates durbina dwstat dydx e|0 ed edi edit egen eivreg emdef en enc enco encod encode eq erase ereg ereg_lf ereg_p ereg_sw ereghet ereghet_glf ereghet_glf_sh ereghet_gp ereghet_ilf ereghet_ilf_sh ereghet_ip eret eretu eretur ereturn err erro error esize est est_cfexist est_cfname est_clickable est_expand est_hold est_table est_unhold est_unholdok estat estat_default estat_summ estat_vce_only esti estimates etodow etof etomdy ex exi exit expand expandcl fac fact facto factor factor_estat factor_p factor_pca_rotated factor_rotate factormat fcast fcast_compute fcast_graph fdades fdadesc fdadescr fdadescri fdadescrib fdadescribe fdasav fdasave fdause fh_st file open file read file close file filefilter fillin find_hlp_file findfile findit findit_7 fit fl fli flis flist for5_0 forest forestplot form forma format fpredict frac_154 frac_adj frac_chk frac_cox frac_ddp frac_dis frac_dv frac_in frac_mun frac_pp frac_pq frac_pv frac_wgt frac_xo fracgen fracplot fracplot_7 fracpoly fracpred fron_ex fron_hn fron_p fron_tn fron_tn2 frontier ftodate ftoe ftomdy ftowdate funnel funnelplot g|0 gamhet_glf gamhet_gp gamhet_ilf gamhet_ip gamma gamma_d2 gamma_p gamma_sw gammahet gdi_hexagon gdi_spokes ge gen gene gener genera generat generate genrank genstd genvmean gettoken gl gladder gladder_7 glim_l01 glim_l02 glim_l03 glim_l04 glim_l05 glim_l06 glim_l07 glim_l08 glim_l09 glim_l10 glim_l11 glim_l12 glim_lf glim_mu glim_nw1 glim_nw2 glim_nw3 glim_p glim_v1 glim_v2 glim_v3 glim_v4 glim_v5 glim_v6 glim_v7 glm glm_6 glm_p glm_sw glmpred glo glob globa global glogit glogit_8 glogit_p gmeans gnbre_lf gnbreg gnbreg_5 gnbreg_p gomp_lf gompe_sw gomper_p gompertz gompertzhet gomphet_glf gomphet_glf_sh gomphet_gp gomphet_ilf gomphet_ilf_sh gomphet_ip gphdot gphpen gphprint gprefs gprobi_p gprobit gprobit_8 gr gr7 gr_copy gr_current gr_db gr_describe gr_dir gr_draw gr_draw_replay gr_drop gr_edit gr_editviewopts gr_example gr_example2 gr_export gr_print gr_qscheme gr_query gr_read gr_rename gr_replay gr_save gr_set gr_setscheme gr_table gr_undo gr_use graph graph7 grebar greigen greigen_7 greigen_8 grmeanby grmeanby_7 gs_fileinfo gs_filetype gs_graphinfo gs_stat gsort gwood h|0 hadimvo hareg hausman haver he heck_d2 heckma_p heckman heckp_lf heckpr_p heckprob hel help hereg hetpr_lf hetpr_p hetprob hettest hexdump hilite hist hist_7 histogram hlogit hlu hmeans hotel hotelling hprobit hreg hsearch icd9 icd9_ff icd9p iis impute imtest inbase include inf infi infil infile infix inp inpu input ins insheet insp inspe inspec inspect integ inten intreg intreg_7 intreg_p intrg2_ll intrg_ll intrg_ll2 ipolate iqreg ir irf irf_create irfm iri is_svy is_svysum isid istdize ivprob_1_lf ivprob_lf ivprobit ivprobit_p ivreg ivreg_footnote ivtob_1_lf ivtob_lf ivtobit ivtobit_p jackknife jacknife jknife jknife_6 jknife_8 jkstat joinby kalarma1 kap kap_3 kapmeier kappa kapwgt kdensity kdensity_7 keep ksm ksmirnov ktau kwallis l|0 la lab labbe labbeplot labe label labelbook ladder levels levelsof leverage lfit lfit_p li lincom line linktest lis list lloghet_glf lloghet_glf_sh lloghet_gp lloghet_ilf lloghet_ilf_sh lloghet_ip llogi_sw llogis_p llogist llogistic llogistichet lnorm_lf lnorm_sw lnorma_p lnormal lnormalhet lnormhet_glf lnormhet_glf_sh lnormhet_gp lnormhet_ilf lnormhet_ilf_sh lnormhet_ip lnskew0 loadingplot loc loca local log logi logis_lf logistic logistic_p logit logit_estat logit_p loglogs logrank loneway lookfor lookup lowess lowess_7 lpredict lrecomp lroc lroc_7 lrtest ls lsens lsens_7 lsens_x lstat ltable ltable_7 ltriang lv lvr2plot lvr2plot_7 m|0 ma mac macr macro makecns man manova manova_estat manova_p manovatest mantel mark markin markout marksample mat mat_capp mat_order mat_put_rr mat_rapp mata mata_clear mata_describe mata_drop mata_matdescribe mata_matsave mata_matuse mata_memory mata_mlib mata_mosave mata_rename mata_which matalabel matcproc matlist matname matr matri matrix matrix_input__dlg matstrik mcc mcci md0_ md1_ md1debug_ md2_ md2debug_ mds mds_estat mds_p mdsconfig mdslong mdsmat mdsshepard mdytoe mdytof me_derd mean means median memory memsize menl meqparse mer merg merge meta mfp mfx mhelp mhodds minbound mixed_ll mixed_ll_reparm mkassert mkdir mkmat mkspline ml ml_5 ml_adjs ml_bhhhs ml_c_d ml_check ml_clear ml_cnt ml_debug ml_defd ml_e0 ml_e0_bfgs ml_e0_cycle ml_e0_dfp ml_e0i ml_e1 ml_e1_bfgs ml_e1_bhhh ml_e1_cycle ml_e1_dfp ml_e2 ml_e2_cycle ml_ebfg0 ml_ebfr0 ml_ebfr1 ml_ebh0q ml_ebhh0 ml_ebhr0 ml_ebr0i ml_ecr0i ml_edfp0 ml_edfr0 ml_edfr1 ml_edr0i ml_eds ml_eer0i ml_egr0i ml_elf ml_elf_bfgs ml_elf_bhhh ml_elf_cycle ml_elf_dfp ml_elfi ml_elfs ml_enr0i ml_enrr0 ml_erdu0 ml_erdu0_bfgs ml_erdu0_bhhh ml_erdu0_bhhhq ml_erdu0_cycle ml_erdu0_dfp ml_erdu0_nrbfgs ml_exde ml_footnote ml_geqnr ml_grad0 ml_graph ml_hbhhh ml_hd0 ml_hold ml_init ml_inv ml_log ml_max ml_mlout ml_mlout_8 ml_model ml_nb0 ml_opt ml_p ml_plot ml_query ml_rdgrd ml_repor ml_s_e ml_score ml_searc ml_technique ml_unhold mleval mlf_ mlmatbysum mlmatsum mlog mlogi mlogit mlogit_footnote mlogit_p mlopts mlsum mlvecsum mnl0_ mor more mov move mprobit mprobit_lf mprobit_p mrdu0_ mrdu1_ mvdecode mvencode mvreg mvreg_estat n|0 nbreg nbreg_al nbreg_lf nbreg_p nbreg_sw nestreg net newey newey_7 newey_p news nl nl_7 nl_9 nl_9_p nl_p nl_p_7 nlcom nlcom_p nlexp2 nlexp2_7 nlexp2a nlexp2a_7 nlexp3 nlexp3_7 nlgom3 nlgom3_7 nlgom4 nlgom4_7 nlinit nllog3 nllog3_7 nllog4 nllog4_7 nlog_rd nlogit nlogit_p nlogitgen nlogittree nlpred no nobreak noi nois noisi noisil noisily note notes notes_dlg nptrend numlabel numlist odbc old_ver olo olog ologi ologi_sw ologit ologit_p ologitp on one onew onewa oneway op_colnm op_comp op_diff op_inv op_str opr opro oprob oprob_sw oprobi oprobi_p oprobit oprobitp opts_exclusive order orthog orthpoly ou out outf outfi outfil outfile outs outsh outshe outshee outsheet ovtest pac pac_7 palette parse parse_dissim pause pca pca_8 pca_display pca_estat pca_p pca_rotate pcamat pchart pchart_7 pchi pchi_7 pcorr pctile pentium pergram pergram_7 permute permute_8 personal peto_st pkcollapse pkcross pkequiv pkexamine pkexamine_7 pkshape pksumm pksumm_7 pl plo plot plugin pnorm pnorm_7 poisgof poiss_lf poiss_sw poisso_p poisson poisson_estat post postclose postfile postutil pperron pr prais prais_e prais_e2 prais_p predict predictnl preserve print pro prob probi probit probit_estat probit_p proc_time procoverlay procrustes procrustes_estat procrustes_p profiler prog progr progra program prop proportion prtest prtesti pwcorr pwd q\\s qby qbys qchi qchi_7 qladder qladder_7 qnorm qnorm_7 qqplot qqplot_7 qreg qreg_c qreg_p qreg_sw qu quadchk quantile quantile_7 que quer query range ranksum ratio rchart rchart_7 rcof recast reclink recode reg reg3 reg3_p regdw regr regre regre_p2 regres regres_p regress regress_estat regriv_p remap ren rena renam rename renpfix repeat replace report reshape restore ret retu retur return rm rmdir robvar roccomp roccomp_7 roccomp_8 rocf_lf rocfit rocfit_8 rocgold rocplot rocplot_7 roctab roctab_7 rolling rologit rologit_p rot rota rotat rotate rotatemat rreg rreg_p ru run runtest rvfplot rvfplot_7 rvpplot rvpplot_7 sa safesum sample sampsi sav save savedresults saveold sc sca scal scala scalar scatter scm_mine sco scob_lf scob_p scobi_sw scobit scor score scoreplot scoreplot_help scree screeplot screeplot_help sdtest sdtesti se search separate seperate serrbar serrbar_7 serset set set_defaults sfrancia sh she shel shell shewhart shewhart_7 signestimationsample signrank signtest simul simul_7 simulate simulate_8 sktest sleep slogit slogit_d2 slogit_p smooth snapspan so sor sort spearman spikeplot spikeplot_7 spikeplt spline_x split sqreg sqreg_p sret sretu sretur sreturn ssc st st_ct st_hc st_hcd st_hcd_sh st_is st_issys st_note st_promo st_set st_show st_smpl st_subid stack statsby statsby_8 stbase stci stci_7 stcox stcox_estat stcox_fr stcox_fr_ll stcox_p stcox_sw stcoxkm stcoxkm_7 stcstat stcurv stcurve stcurve_7 stdes stem stepwise stereg stfill stgen stir stjoin stmc stmh stphplot stphplot_7 stphtest stphtest_7 stptime strate strate_7 streg streg_sw streset sts sts_7 stset stsplit stsum sttocc sttoct stvary stweib su suest suest_8 sum summ summa summar summari summariz summarize sunflower sureg survcurv survsum svar svar_p svmat svy svy_disp svy_dreg svy_est svy_est_7 svy_estat svy_get svy_gnbreg_p svy_head svy_header svy_heckman_p svy_heckprob_p svy_intreg_p svy_ivreg_p svy_logistic_p svy_logit_p svy_mlogit_p svy_nbreg_p svy_ologit_p svy_oprobit_p svy_poisson_p svy_probit_p svy_regress_p svy_sub svy_sub_7 svy_x svy_x_7 svy_x_p svydes svydes_8 svygen svygnbreg svyheckman svyheckprob svyintreg svyintreg_7 svyintrg svyivreg svylc svylog_p svylogit svymarkout svymarkout_8 svymean svymlog svymlogit svynbreg svyolog svyologit svyoprob svyoprobit svyopts svypois svypois_7 svypoisson svyprobit svyprobt svyprop svyprop_7 svyratio svyreg svyreg_p svyregress svyset svyset_7 svyset_8 svytab svytab_7 svytest svytotal sw sw_8 swcnreg swcox swereg swilk swlogis swlogit swologit swoprbt swpois swprobit swqreg swtobit swweib symmetry symmi symplot symplot_7 syntax sysdescribe sysdir sysuse szroeter ta tab tab1 tab2 tab_or tabd tabdi tabdis tabdisp tabi table tabodds tabodds_7 tabstat tabu tabul tabula tabulat tabulate te tempfile tempname tempvar tes test testnl testparm teststd tetrachoric time_it timer tis tob tobi tobit tobit_p tobit_sw token tokeni tokeniz tokenize tostring total translate translator transmap treat_ll treatr_p treatreg trim trimfill trnb_cons trnb_mean trpoiss_d2 trunc_ll truncr_p truncreg tsappend tset tsfill tsline tsline_ex tsreport tsrevar tsrline tsset tssmooth tsunab ttest ttesti tut_chk tut_wait tutorial tw tware_st two twoway twoway__fpfit_serset twoway__function_gen twoway__histogram_gen twoway__ipoint_serset twoway__ipoints_serset twoway__kdensity_gen twoway__lfit_serset twoway__normgen_gen twoway__pci_serset twoway__qfit_serset twoway__scatteri_serset twoway__sunflower_gen twoway_ksm_serset ty typ type typeof u|0 unab unabbrev unabcmd update us use uselabel var var_mkcompanion var_p varbasic varfcast vargranger varirf varirf_add varirf_cgraph varirf_create varirf_ctable varirf_describe varirf_dir varirf_drop varirf_erase varirf_graph varirf_ograph varirf_rename varirf_set varirf_table varlist varlmar varnorm varsoc varstable varstable_w varstable_w2 varwle vce vec vec_fevd vec_mkphi vec_p vec_p_w vecirf_create veclmar veclmar_w vecnorm vecnorm_w vecrank vecstable verinst vers versi versio version view viewsource vif vwls wdatetof webdescribe webseek webuse weib1_lf weib2_lf weib_lf weib_lf0 weibhet_glf weibhet_glf_sh weibhet_glfa weibhet_glfa_sh weibhet_gp weibhet_ilf weibhet_ilf_sh weibhet_ilfa weibhet_ilfa_sh weibhet_ip weibu_sw weibul_p weibull weibull_c weibull_s weibullhet wh whelp whi which whil while wilc_st wilcoxon win wind windo window winexec wntestb wntestb_7 wntestq xchart xchart_7 xcorr xcorr_7 xi xi_6 xmlsav xmlsave xmluse xpose xsh xshe xshel xshell xt_iis xt_tis xtab_p xtabond xtbin_p xtclog xtcloglog xtcloglog_8 xtcloglog_d2 xtcloglog_pa_p xtcloglog_re_p xtcnt_p xtcorr xtdata xtdes xtfront_p xtfrontier xtgee xtgee_elink xtgee_estat xtgee_makeivar xtgee_p xtgee_plink xtgls xtgls_p xthaus xthausman xtht_p xthtaylor xtile xtint_p xtintreg xtintreg_8 xtintreg_d2 xtintreg_p xtivp_1 xtivp_2 xtivreg xtline xtline_ex xtlogit xtlogit_8 xtlogit_d2 xtlogit_fe_p xtlogit_pa_p xtlogit_re_p xtmixed xtmixed_estat xtmixed_p xtnb_fe xtnb_lf xtnbreg xtnbreg_pa_p xtnbreg_refe_p xtpcse xtpcse_p xtpois xtpoisson xtpoisson_d2 xtpoisson_pa_p xtpoisson_refe_p xtpred xtprobit xtprobit_8 xtprobit_d2 xtprobit_re_p xtps_fe xtps_lf xtps_ren xtps_ren_8 xtrar_p xtrc xtrc_p xtrchh xtrefe_p xtreg xtreg_be xtreg_fe xtreg_ml xtreg_pa_p xtreg_re xtregar xtrere_p xtset xtsf_ll xtsf_llti xtsum xttab xttest0 xttobit xttobit_8 xttobit_p xttrans yx yxview__barlike_draw yxview_area_draw yxview_bar_draw yxview_dot_draw yxview_dropline_draw yxview_function_draw yxview_iarrow_draw yxview_ilabels_draw yxview_normal_draw yxview_pcarrow_draw yxview_pcbarrow_draw yxview_pccapsym_draw yxview_pcscatter_draw yxview_pcspike_draw yxview_rarea_draw yxview_rbar_draw yxview_rbarm_draw yxview_rcap_draw yxview_rcapsym_draw yxview_rconnected_draw yxview_rline_draw yxview_rscatter_draw yxview_rspike_draw yxview_spike_draw yxview_sunflower_draw zap_s zinb zinb_llf zinb_plf zip zip_llf zip_p zip_plf zt_ct_5 zt_hc_5 zt_hcd_5 zt_is_5 zt_iss_5 zt_sho_5 zt_smp_5 ztbase_5 ztcox_5 ztdes_5 ztereg_5 ztfill_5 ztgen_5 ztir_5 ztjoin_5 ztnb ztnb_p ztp ztp_p zts_5 ztset_5 ztspli_5 ztsum_5 zttoct_5 ztvary_5 ztweib_5",contains:[{className:"symbol",begin:/`[a-zA-Z0-9_]+'/},{className:"variable",begin:/\$\{?[a-zA-Z0-9_]+\}?/,relevance:0},{className:"string",variants:[{begin:`\`"[^\r ]*?"'`},{begin:`"[^\r "]*"`}]},{className:"built_in",variants:[{begin:"\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\()"}]},e.COMMENT("^[ ]*\\*.*$",!1),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}var Xj=jj;function Zj(e){return{name:"STEP Part 21",aliases:["p21","step","stp"],case_insensitive:!0,keywords:{$pattern:"[A-Z_][A-Z0-9_.]*",keyword:["HEADER","ENDSEC","DATA"]},contains:[{className:"meta",begin:"ISO-10303-21;",relevance:10},{className:"meta",begin:"END-ISO-10303-21;",relevance:10},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT("/\\*\\*!","\\*/"),e.C_NUMBER_MODE,e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"'",end:"'"},{className:"symbol",variants:[{begin:"#",end:"\\d+",illegal:"\\W"}]}]}}var Jj=Zj;const eX=e=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:e.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:e.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z][A-Za-z0-9_-]*/}}),tX=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","p","q","quote","samp","section","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],nX=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"],rX=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"],iX=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"],aX=["align-content","align-items","align-self","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","empty-cells","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","isolation","justify-content","left","letter-spacing","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","resize","rest","rest-after","rest-before","right","row-gap","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","speak","speak-as","src","tab-size","table-layout","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-style","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","unicode-bidi","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","z-index"].reverse();function oX(e){const t=eX(e),n="and or not only",r={className:"variable",begin:"\\$"+e.IDENT_RE},a=["charset","css","debug","extend","font-face","for","import","include","keyframes","media","mixin","page","warn","while"],l="(?=[.\\s\\n[:,(])";return{name:"Stylus",aliases:["styl"],case_insensitive:!1,keywords:"if else for in",illegal:"("+["\\?","(\\bReturn\\b)","(\\bEnd\\b)","(\\bend\\b)","(\\bdef\\b)",";","#\\s","\\*\\s","===\\s","\\|","%"].join("|")+")",contains:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,t.HEXCOLOR,{begin:"\\.[a-zA-Z][a-zA-Z0-9_-]*"+l,className:"selector-class"},{begin:"#[a-zA-Z][a-zA-Z0-9_-]*"+l,className:"selector-id"},{begin:"\\b("+tX.join("|")+")"+l,className:"selector-tag"},{className:"selector-pseudo",begin:"&?:("+rX.join("|")+")"+l},{className:"selector-pseudo",begin:"&?:(:)?("+iX.join("|")+")"+l},t.ATTRIBUTE_SELECTOR_MODE,{className:"keyword",begin:/@media/,starts:{end:/[{;}]/,keywords:{$pattern:/[a-z-]+/,keyword:n,attribute:nX.join(" ")},contains:[t.CSS_NUMBER_MODE]}},{className:"keyword",begin:"@((-(o|moz|ms|webkit)-)?("+a.join("|")+"))\\b"},r,t.CSS_NUMBER_MODE,{className:"function",begin:"^[a-zA-Z][a-zA-Z0-9_-]*\\(.*\\)",illegal:"[\\n]",returnBegin:!0,contains:[{className:"title",begin:"\\b[a-zA-Z][a-zA-Z0-9_-]*"},{className:"params",begin:/\(/,end:/\)/,contains:[t.HEXCOLOR,r,e.APOS_STRING_MODE,t.CSS_NUMBER_MODE,e.QUOTE_STRING_MODE]}]},t.CSS_VARIABLE,{className:"attribute",begin:"\\b("+aX.join("|")+")\\b",starts:{end:/;|$/,contains:[t.HEXCOLOR,r,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,t.CSS_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,t.IMPORTANT,t.FUNCTION_DISPATCH],illegal:/\./,relevance:0}},t.FUNCTION_DISPATCH]}}var sX=oX;function lX(e){return{name:"SubUnit",case_insensitive:!0,contains:[{className:"string",begin:`\\[ (multipart)?`,end:`\\] `},{className:"string",begin:"\\d{4}-\\d{2}-\\d{2}(\\s+)\\d{2}:\\d{2}:\\d{2}.\\d+Z"},{className:"string",begin:"(\\+|-)\\d+"},{className:"keyword",relevance:10,variants:[{begin:"^(test|testing|success|successful|failure|error|skip|xfail|uxsuccess)(:?)\\s+(test)?"},{begin:"^progress(:?)(\\s+)?(pop|push)?"},{begin:"^tags:"},{begin:"^time:"}]}]}}var cX=lX;function _c(e){return e?typeof e=="string"?e:e.source:null}function ci(e){return at("(?=",e,")")}function at(...e){return e.map(n=>_c(n)).join("")}function uX(e){const t=e[e.length-1];return typeof t=="object"&&t.constructor===Object?(e.splice(e.length-1,1),t):{}}function Ct(...e){const t=uX(e);return"("+(t.capture?"":"?:")+e.map(r=>_c(r)).join("|")+")"}const io=e=>at(/\b/,e,/\w$/.test(e)?/\b/:/\B/),dX=["Protocol","Type"].map(io),ol=["init","self"].map(io),_X=["Any","Self"],wa=["actor","any","associatedtype","async","await",/as\?/,/as!/,"as","break","case","catch","class","continue","convenience","default","defer","deinit","didSet","distributed","do","dynamic","else","enum","extension","fallthrough",/fileprivate\(set\)/,"fileprivate","final","for","func","get","guard","if","import","indirect","infix",/init\?/,/init!/,"inout",/internal\(set\)/,"internal","in","is","isolated","nonisolated","lazy","let","mutating","nonmutating",/open\(set\)/,"open","operator","optional","override","postfix","precedencegroup","prefix",/private\(set\)/,"private","protocol",/public\(set\)/,"public","repeat","required","rethrows","return","set","some","static","struct","subscript","super","switch","throws","throw",/try\?/,/try!/,"try","typealias",/unowned\(safe\)/,/unowned\(unsafe\)/,"unowned","var","weak","where","while","willSet"],sl=["false","nil","true"],pX=["assignment","associativity","higherThan","left","lowerThan","none","right"],mX=["#colorLiteral","#column","#dsohandle","#else","#elseif","#endif","#error","#file","#fileID","#fileLiteral","#filePath","#function","#if","#imageLiteral","#keyPath","#line","#selector","#sourceLocation","#warn_unqualified_access","#warning"],ll=["abs","all","any","assert","assertionFailure","debugPrint","dump","fatalError","getVaList","isKnownUniquelyReferenced","max","min","numericCast","pointwiseMax","pointwiseMin","precondition","preconditionFailure","print","readLine","repeatElement","sequence","stride","swap","swift_unboxFromSwiftValueWithType","transcode","type","unsafeBitCast","unsafeDowncast","withExtendedLifetime","withUnsafeMutablePointer","withUnsafePointer","withVaList","withoutActuallyEscaping","zip"],pc=Ct(/[/=\-+!*%<>&|^~?]/,/[\u00A1-\u00A7]/,/[\u00A9\u00AB]/,/[\u00AC\u00AE]/,/[\u00B0\u00B1]/,/[\u00B6\u00BB\u00BF\u00D7\u00F7]/,/[\u2016-\u2017]/,/[\u2020-\u2027]/,/[\u2030-\u203E]/,/[\u2041-\u2053]/,/[\u2055-\u205E]/,/[\u2190-\u23FF]/,/[\u2500-\u2775]/,/[\u2794-\u2BFF]/,/[\u2E00-\u2E7F]/,/[\u3001-\u3003]/,/[\u3008-\u3020]/,/[\u3030]/),mc=Ct(pc,/[\u0300-\u036F]/,/[\u1DC0-\u1DFF]/,/[\u20D0-\u20FF]/,/[\uFE00-\uFE0F]/,/[\uFE20-\uFE2F]/),Ma=at(pc,mc,"*"),fc=Ct(/[a-zA-Z_]/,/[\u00A8\u00AA\u00AD\u00AF\u00B2-\u00B5\u00B7-\u00BA]/,/[\u00BC-\u00BE\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u00FF]/,/[\u0100-\u02FF\u0370-\u167F\u1681-\u180D\u180F-\u1DBF]/,/[\u1E00-\u1FFF]/,/[\u200B-\u200D\u202A-\u202E\u203F-\u2040\u2054\u2060-\u206F]/,/[\u2070-\u20CF\u2100-\u218F\u2460-\u24FF\u2776-\u2793]/,/[\u2C00-\u2DFF\u2E80-\u2FFF]/,/[\u3004-\u3007\u3021-\u302F\u3031-\u303F\u3040-\uD7FF]/,/[\uF900-\uFD3D\uFD40-\uFDCF\uFDF0-\uFE1F\uFE30-\uFE44]/,/[\uFE47-\uFEFE\uFF00-\uFFFD]/),gi=Ct(fc,/\d/,/[\u0300-\u036F\u1DC0-\u1DFF\u20D0-\u20FF\uFE20-\uFE2F]/),rn=at(fc,gi,"*"),La=at(/[A-Z]/,gi,"*"),fX=["autoclosure",at(/convention\(/,Ct("swift","block","c"),/\)/),"discardableResult","dynamicCallable","dynamicMemberLookup","escaping","frozen","GKInspectable","IBAction","IBDesignable","IBInspectable","IBOutlet","IBSegueAction","inlinable","main","nonobjc","NSApplicationMain","NSCopying","NSManaged",at(/objc\(/,rn,/\)/),"objc","objcMembers","propertyWrapper","requires_stored_property_inits","resultBuilder","testable","UIApplicationMain","unknown","usableFromInline"],gX=["iOS","iOSApplicationExtension","macOS","macOSApplicationExtension","macCatalyst","macCatalystApplicationExtension","watchOS","watchOSApplicationExtension","tvOS","tvOSApplicationExtension","swift"];function hX(e){const t={match:/\s+/,relevance:0},n=e.COMMENT("/\\*","\\*/",{contains:["self"]}),r=[e.C_LINE_COMMENT_MODE,n],a={match:[/\./,Ct(...dX,...ol)],className:{2:"keyword"}},l={match:at(/\./,Ct(...wa)),relevance:0},u=wa.filter(ce=>typeof ce=="string").concat(["_|0"]),c=wa.filter(ce=>typeof ce!="string").concat(_X).map(io),d={variants:[{className:"keyword",match:Ct(...c,...ol)}]},g={$pattern:Ct(/\b\w+/,/#\w+/),keyword:u.concat(mX),literal:sl},f=[a,l,d],h={match:at(/\./,Ct(...ll)),relevance:0},p={className:"built_in",match:at(/\b/,Ct(...ll),/(?=\()/)},m=[h,p],y={match:/->/,relevance:0},b={className:"operator",relevance:0,variants:[{match:Ma},{match:`\\.(\\.|${mc})+`}]},C=[y,b],E="([0-9]_*)+",v="([0-9a-fA-F]_*)+",O={className:"number",relevance:0,variants:[{match:`\\b(${E})(\\.(${E}))?([eE][+-]?(${E}))?\\b`},{match:`\\b0x(${v})(\\.(${v}))?([pP][+-]?(${E}))?\\b`},{match:/\b0o([0-7]_*)+\b/},{match:/\b0b([01]_*)+\b/}]},S=(ce="")=>({className:"subst",variants:[{match:at(/\\/,ce,/[0\\tnr"']/)},{match:at(/\\/,ce,/u\{[0-9a-fA-F]{1,8}\}/)}]}),A=(ce="")=>({className:"subst",match:at(/\\/,ce,/[\t ]*(?:[\r\n]|\r\n)/)}),P=(ce="")=>({className:"subst",label:"interpol",begin:at(/\\/,ce,/\(/),end:/\)/}),F=(ce="")=>({begin:at(ce,/"""/),end:at(/"""/,ce),contains:[S(ce),A(ce),P(ce)]}),G=(ce="")=>({begin:at(ce,/"/),end:at(/"/,ce),contains:[S(ce),P(ce)]}),z={className:"string",variants:[F(),F("#"),F("##"),F("###"),G(),G("#"),G("##"),G("###")]},k={match:at(/`/,rn,/`/)},L={className:"variable",match:/\$\d+/},w={className:"variable",match:`\\$${gi}+`},M=[k,L,w],x={match:/(@|#(un)?)available/,className:"keyword",starts:{contains:[{begin:/\(/,end:/\)/,keywords:gX,contains:[...C,O,z]}]}},Y={className:"keyword",match:at(/@/,Ct(...fX))},J={className:"meta",match:at(/@/,rn)},K=[x,Y,J],re={match:ci(/\b[A-Z]/),relevance:0,contains:[{className:"type",match:at(/(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)/,gi,"+")},{className:"type",match:La,relevance:0},{match:/[?!]+/,relevance:0},{match:/\.\.\./,relevance:0},{match:at(/\s+&\s+/,ci(La)),relevance:0}]},Z={begin://,keywords:g,contains:[...r,...f,...K,y,re]};re.contains.push(Z);const j={match:at(rn,/\s*:/),keywords:"_|0",relevance:0},U={begin:/\(/,end:/\)/,relevance:0,keywords:g,contains:["self",j,...r,...f,...m,...C,O,z,...M,...K,re]},Q={begin://,contains:[...r,re]},X={begin:Ct(ci(at(rn,/\s*:/)),ci(at(rn,/\s+/,rn,/\s*:/))),end:/:/,relevance:0,contains:[{className:"keyword",match:/\b_\b/},{className:"params",match:rn}]},le={begin:/\(/,end:/\)/,keywords:g,contains:[X,...r,...f,...C,O,z,...K,re,U],endsParent:!0,illegal:/["']/},ae={match:[/func/,/\s+/,Ct(k.match,rn,Ma)],className:{1:"keyword",3:"title.function"},contains:[Q,le,t],illegal:[/\[/,/%/]},W={match:[/\b(?:subscript|init[?!]?)/,/\s*(?=[<(])/],className:{1:"keyword"},contains:[Q,le,t],illegal:/\[|%/},ie={match:[/operator/,/\s+/,Ma],className:{1:"keyword",3:"title"}},de={begin:[/precedencegroup/,/\s+/,La],className:{1:"keyword",3:"title"},contains:[re],keywords:[...pX,...sl],end:/}/};for(const ce of z.variants){const Ee=ce.contains.find(Le=>Le.label==="interpol");Ee.keywords=g;const Ie=[...f,...m,...C,O,z,...M];Ee.contains=[...Ie,{begin:/\(/,end:/\)/,contains:["self",...Ie]}]}return{name:"Swift",keywords:g,contains:[...r,ae,W,{beginKeywords:"struct protocol class extension enum actor",end:"\\{",excludeEnd:!0,keywords:g,contains:[e.inherit(e.TITLE_MODE,{className:"title.class",begin:/[A-Za-z$_][\u00C0-\u02B80-9A-Za-z$_]*/}),...f]},ie,de,{beginKeywords:"import",end:/$/,contains:[...r],relevance:0},...f,...m,...C,O,z,...M,...K,re,U]}}var EX=hX;function SX(e){return{name:"Tagger Script",contains:[{className:"comment",begin:/\$noop\(/,end:/\)/,contains:[{begin:/\\[()]/},{begin:/\(/,end:/\)/,contains:[{begin:/\\[()]/},"self"]}],relevance:10},{className:"keyword",begin:/\$[_a-zA-Z0-9]+(?=\()/},{className:"variable",begin:/%[_a-zA-Z0-9:]+%/},{className:"symbol",begin:/\\[\\nt$%,()]/},{className:"symbol",begin:/\\u[a-fA-F0-9]{4}/}]}}var bX=SX;function vX(e){const t="true false yes no null",n="[\\w#;/?:@&=+$,.~*'()[\\]]+",r={className:"attr",variants:[{begin:"\\w[\\w :\\/.-]*:(?=[ ]|$)"},{begin:'"\\w[\\w :\\/.-]*":(?=[ ]|$)'},{begin:"'\\w[\\w :\\/.-]*':(?=[ ]|$)"}]},a={className:"template-variable",variants:[{begin:/\{\{/,end:/\}\}/},{begin:/%\{/,end:/\}/}]},l={className:"string",relevance:0,variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/\S+/}],contains:[e.BACKSLASH_ESCAPE,a]},u=e.inherit(l,{variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/[^\s,{}[\]]+/}]}),c="[0-9]{4}(-[0-9][0-9]){0,2}",d="([Tt \\t][0-9][0-9]?(:[0-9][0-9]){2})?",g="(\\.[0-9]*)?",f="([ \\t])*(Z|[-+][0-9][0-9]?(:[0-9][0-9])?)?",h={className:"number",begin:"\\b"+c+d+g+f+"\\b"},p={end:",",endsWithParent:!0,excludeEnd:!0,keywords:t,relevance:0},m={begin:/\{/,end:/\}/,contains:[p],illegal:"\\n",relevance:0},y={begin:"\\[",end:"\\]",contains:[p],illegal:"\\n",relevance:0},b=[r,{className:"meta",begin:"^---\\s*$",relevance:10},{className:"string",begin:"[\\|>]([1-9]?[+-])?[ ]*\\n( +)[^ ][^\\n]*\\n(\\2[^\\n]+\\n?)*"},{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:"!\\w+!"+n},{className:"type",begin:"!<"+n+">"},{className:"type",begin:"!"+n},{className:"type",begin:"!!"+n},{className:"meta",begin:"&"+e.UNDERSCORE_IDENT_RE+"$"},{className:"meta",begin:"\\*"+e.UNDERSCORE_IDENT_RE+"$"},{className:"bullet",begin:"-(?=[ ]|$)",relevance:0},e.HASH_COMMENT_MODE,{beginKeywords:t,keywords:{literal:t}},h,{className:"number",begin:e.C_NUMBER_RE+"\\b",relevance:0},m,y,l],C=[...b];return C.pop(),C.push(u),p.contains=C,{name:"YAML",case_insensitive:!0,aliases:["yml"],contains:b}}var TX=vX;function yX(e){return{name:"Test Anything Protocol",case_insensitive:!0,contains:[e.HASH_COMMENT_MODE,{className:"meta",variants:[{begin:"^TAP version (\\d+)$"},{begin:"^1\\.\\.(\\d+)$"}]},{begin:/---$/,end:"\\.\\.\\.$",subLanguage:"yaml",relevance:0},{className:"number",begin:" (\\d+) "},{className:"symbol",variants:[{begin:"^ok"},{begin:"^not ok"}]}]}}var CX=yX;function RX(e){const t=e.regex,n=/[a-zA-Z_][a-zA-Z0-9_]*/,r={className:"number",variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]};return{name:"Tcl",aliases:["tk"],keywords:["after","append","apply","array","auto_execok","auto_import","auto_load","auto_mkindex","auto_mkindex_old","auto_qualify","auto_reset","bgerror","binary","break","catch","cd","chan","clock","close","concat","continue","dde","dict","encoding","eof","error","eval","exec","exit","expr","fblocked","fconfigure","fcopy","file","fileevent","filename","flush","for","foreach","format","gets","glob","global","history","http","if","incr","info","interp","join","lappend|10","lassign|10","lindex|10","linsert|10","list","llength|10","load","lrange|10","lrepeat|10","lreplace|10","lreverse|10","lsearch|10","lset|10","lsort|10","mathfunc","mathop","memory","msgcat","namespace","open","package","parray","pid","pkg::create","pkg_mkIndex","platform","platform::shell","proc","puts","pwd","read","refchan","regexp","registry","regsub|10","rename","return","safe","scan","seek","set","socket","source","split","string","subst","switch","tcl_endOfWord","tcl_findLibrary","tcl_startOfNextWord","tcl_startOfPreviousWord","tcl_wordBreakAfter","tcl_wordBreakBefore","tcltest","tclvars","tell","time","tm","trace","unknown","unload","unset","update","uplevel","upvar","variable","vwait","while"],contains:[e.COMMENT(";[ \\t]*#","$"),e.COMMENT("^[ \\t]*#","$"),{beginKeywords:"proc",end:"[\\{]",excludeEnd:!0,contains:[{className:"title",begin:"[ \\t\\n\\r]+(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"[ \\t\\n\\r]",endsWithParent:!0,excludeEnd:!0}]},{className:"variable",variants:[{begin:t.concat(/\$/,t.optional(/::/),n,"(::",n,")*")},{begin:"\\$\\{(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"\\}",contains:[r]}]},{className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},r]}}var OX=RX;function NX(e){const t=["bool","byte","i16","i32","i64","double","string","binary"];return{name:"Thrift",keywords:{keyword:["namespace","const","typedef","struct","enum","service","exception","void","oneway","set","list","map","required","optional"],type:t,literal:"true false"},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"struct enum service exception",end:/\{/,illegal:/\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{begin:"\\b(set|list|map)\\s*<",keywords:{type:[...t,"set","list","map"]},end:">",contains:["self"]}]}}var AX=NX;function IX(e){const t={className:"number",begin:"[1-9][0-9]*",relevance:0},n={className:"symbol",begin:":[^\\]]+"},r={className:"built_in",begin:"(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER|TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\[",end:"\\]",contains:["self",t,n]},a={className:"built_in",begin:"(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\[",end:"\\]",contains:["self",t,e.QUOTE_STRING_MODE,n]};return{name:"TP",keywords:{keyword:["ABORT","ACC","ADJUST","AND","AP_LD","BREAK","CALL","CNT","COL","CONDITION","CONFIG","DA","DB","DIV","DETECT","ELSE","END","ENDFOR","ERR_NUM","ERROR_PROG","FINE","FOR","GP","GUARD","INC","IF","JMP","LINEAR_MAX_SPEED","LOCK","MOD","MONITOR","OFFSET","Offset","OR","OVERRIDE","PAUSE","PREG","PTH","RT_LD","RUN","SELECT","SKIP","Skip","TA","TB","TO","TOOL_OFFSET","Tool_Offset","UF","UT","UFRAME_NUM","UTOOL_NUM","UNLOCK","WAIT","X","Y","Z","W","P","R","STRLEN","SUBSTR","FINDSTR","VOFFSET","PROG","ATTR","MN","POS"],literal:["ON","OFF","max_speed","LPOS","JPOS","ENABLE","DISABLE","START","STOP","RESET"]},contains:[r,a,{className:"keyword",begin:"/(PROG|ATTR|MN|POS|END)\\b"},{className:"keyword",begin:"(CALL|RUN|POINT_LOGIC|LBL)\\b"},{className:"keyword",begin:"\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)"},{className:"number",begin:"\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\b",relevance:0},e.COMMENT("//","[;$]"),e.COMMENT("!","[;$]"),e.COMMENT("--eg:","$"),e.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"'"},e.C_NUMBER_MODE,{className:"variable",begin:"\\$[A-Za-z0-9_]+"}]}}var DX=IX;function xX(e){const t=e.regex,n=["absolute_url","asset|0","asset_version","attribute","block","constant","controller|0","country_timezones","csrf_token","cycle","date","dump","expression","form|0","form_end","form_errors","form_help","form_label","form_rest","form_row","form_start","form_widget","html_classes","include","is_granted","logout_path","logout_url","max","min","parent","path|0","random","range","relative_path","render","render_esi","source","template_from_string","url|0"],r=["abs","abbr_class","abbr_method","batch","capitalize","column","convert_encoding","country_name","currency_name","currency_symbol","data_uri","date","date_modify","default","escape","file_excerpt","file_link","file_relative","filter","first","format","format_args","format_args_as_text","format_currency","format_date","format_datetime","format_file","format_file_from_text","format_number","format_time","html_to_markdown","humanize","inky_to_html","inline_css","join","json_encode","keys","language_name","last","length","locale_name","lower","map","markdown","markdown_to_html","merge","nl2br","number_format","raw","reduce","replace","reverse","round","slice","slug","sort","spaceless","split","striptags","timezone_name","title","trans","transchoice","trim","u|0","upper","url_encode","yaml_dump","yaml_encode"];let a=["apply","autoescape","block","cache","deprecated","do","embed","extends","filter","flush","for","form_theme","from","if","import","include","macro","sandbox","set","stopwatch","trans","trans_default_domain","transchoice","use","verbatim","with"];a=a.concat(a.map(y=>`end${y}`));const l={scope:"string",variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/}]},u={scope:"number",match:/\d+/},c={begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:[l,u]},d={beginKeywords:n.join(" "),keywords:{name:n},relevance:0,contains:[c]},g={match:/\|(?=[A-Za-z_]+:?)/,beginScope:"punctuation",relevance:0,contains:[{match:/[A-Za-z_]+:?/,keywords:r}]},f=(y,{relevance:b})=>({beginScope:{1:"template-tag",3:"name"},relevance:b||2,endScope:"template-tag",begin:[/\{%/,/\s*/,t.either(...y)],end:/%\}/,keywords:"in",contains:[g,d,l,u]}),h=/[a-z_]+/,p=f(a,{relevance:2}),m=f([h],{relevance:1});return{name:"Twig",aliases:["craftcms"],case_insensitive:!0,subLanguage:"xml",contains:[e.COMMENT(/\{#/,/#\}/),p,m,{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:["self",g,d,l,u]}]}}var wX=xX;const hi="[A-Za-z$_][0-9A-Za-z$_]*",gc=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],hc=["true","false","null","undefined","NaN","Infinity"],Ec=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],Sc=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],bc=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],vc=["arguments","this","super","console","window","document","localStorage","sessionStorage","module","global"],Tc=[].concat(bc,Ec,Sc);function MX(e){const t=e.regex,n=(re,{after:Z})=>{const j="",end:""},l=/<[A-Za-z0-9\\._:-]+\s*\/>/,u={begin:/<[A-Za-z0-9\\._:-]+/,end:/\/[A-Za-z0-9\\._:-]+>|\/>/,isTrulyOpeningTag:(re,Z)=>{const j=re[0].length+re.index,U=re.input[j];if(U==="<"||U===","){Z.ignoreMatch();return}U===">"&&(n(re,{after:j})||Z.ignoreMatch());let Q;const X=re.input.substring(j);if(Q=X.match(/^\s*=/)){Z.ignoreMatch();return}if((Q=X.match(/^\s+extends\s+/))&&Q.index===0){Z.ignoreMatch();return}}},c={$pattern:hi,keyword:gc,literal:hc,built_in:Tc,"variable.language":vc},d="[0-9](_?[0-9])*",g=`\\.(${d})`,f="0|[1-9](_?[0-9])*|0[0-7]*[89][0-9]*",h={className:"number",variants:[{begin:`(\\b(${f})((${g})|\\.)?|(${g}))[eE][+-]?(${d})\\b`},{begin:`\\b(${f})\\b((${g})\\b|\\.)?|(${g})\\b`},{begin:"\\b(0|[1-9](_?[0-9])*)n\\b"},{begin:"\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*n?\\b"},{begin:"\\b0[bB][0-1](_?[0-1])*n?\\b"},{begin:"\\b0[oO][0-7](_?[0-7])*n?\\b"},{begin:"\\b0[0-7]+n?\\b"}],relevance:0},p={className:"subst",begin:"\\$\\{",end:"\\}",keywords:c,contains:[]},m={begin:"html`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,p],subLanguage:"xml"}},y={begin:"css`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,p],subLanguage:"css"}},b={begin:"gql`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,p],subLanguage:"graphql"}},C={className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,p]},E=e.COMMENT(/\/\*\*(?!\/)/,"\\*/",{relevance:0,contains:[{begin:"(?=@[A-Za-z]+)",relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"},{className:"type",begin:"\\{",end:"\\}",excludeEnd:!0,excludeBegin:!0,relevance:0},{className:"variable",begin:r+"(?=\\s*(-)|$)",endsParent:!0,relevance:0},{begin:/(?=[^\n])\s/,relevance:0}]}]}),v={className:"comment",variants:[E,e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE]},O=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,m,y,b,C,{match:/\$\d+/},h];p.contains=O.concat({begin:/\{/,end:/\}/,keywords:c,contains:["self"].concat(O)});const S=[].concat(v,p.contains),A=S.concat([{begin:/\(/,end:/\)/,keywords:c,contains:["self"].concat(S)}]),P={className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:c,contains:A},F={variants:[{match:[/class/,/\s+/,r,/\s+/,/extends/,/\s+/,t.concat(r,"(",t.concat(/\./,r),")*")],scope:{1:"keyword",3:"title.class",5:"keyword",7:"title.class.inherited"}},{match:[/class/,/\s+/,r],scope:{1:"keyword",3:"title.class"}}]},G={relevance:0,match:t.either(/\bJSON/,/\b[A-Z][a-z]+([A-Z][a-z]*|\d)*/,/\b[A-Z]{2,}([A-Z][a-z]+|\d)+([A-Z][a-z]*)*/,/\b[A-Z]{2,}[a-z]+([A-Z][a-z]+|\d)*([A-Z][a-z]*)*/),className:"title.class",keywords:{_:[...Ec,...Sc]}},z={label:"use_strict",className:"meta",relevance:10,begin:/^\s*['"]use (strict|asm)['"]/},k={variants:[{match:[/function/,/\s+/,r,/(?=\s*\()/]},{match:[/function/,/\s*(?=\()/]}],className:{1:"keyword",3:"title.function"},label:"func.def",contains:[P],illegal:/%/},L={relevance:0,match:/\b[A-Z][A-Z_0-9]+\b/,className:"variable.constant"};function w(re){return t.concat("(?!",re.join("|"),")")}const M={match:t.concat(/\b/,w([...bc,"super","import"]),r,t.lookahead(/\(/)),className:"title.function",relevance:0},x={begin:t.concat(/\./,t.lookahead(t.concat(r,/(?![0-9A-Za-z$_(])/))),end:r,excludeBegin:!0,keywords:"prototype",className:"property",relevance:0},Y={match:[/get|set/,/\s+/,r,/(?=\()/],className:{1:"keyword",3:"title.function"},contains:[{begin:/\(\)/},P]},J="(\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)|"+e.UNDERSCORE_IDENT_RE+")\\s*=>",K={match:[/const|var|let/,/\s+/,r,/\s*/,/=\s*/,/(async\s*)?/,t.lookahead(J)],keywords:"async",className:{1:"keyword",3:"title.function"},contains:[P]};return{name:"JavaScript",aliases:["js","jsx","mjs","cjs"],keywords:c,exports:{PARAMS_CONTAINS:A,CLASS_REFERENCE:G},illegal:/#(?![$_A-z])/,contains:[e.SHEBANG({label:"shebang",binary:"node",relevance:5}),z,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,m,y,b,C,v,{match:/\$\d+/},h,G,{className:"attr",begin:r+t.lookahead(":"),relevance:0},K,{begin:"("+e.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",relevance:0,contains:[v,e.REGEXP_MODE,{className:"function",begin:J,returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:e.UNDERSCORE_IDENT_RE,relevance:0},{className:null,begin:/\(\s*\)/,skip:!0},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:c,contains:A}]}]},{begin:/,/,relevance:0},{match:/\s+/,relevance:0},{variants:[{begin:a.begin,end:a.end},{match:l},{begin:u.begin,"on:begin":u.isTrulyOpeningTag,end:u.end}],subLanguage:"xml",contains:[{begin:u.begin,end:u.end,skip:!0,contains:["self"]}]}]},k,{beginKeywords:"while if switch catch for"},{begin:"\\b(?!function)"+e.UNDERSCORE_IDENT_RE+"\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)\\s*\\{",returnBegin:!0,label:"func.def",contains:[P,e.inherit(e.TITLE_MODE,{begin:r,className:"title.function"})]},{match:/\.\.\./,relevance:0},x,{match:"\\$"+r,relevance:0},{match:[/\bconstructor(?=\s*\()/],className:{1:"title.function"},contains:[P]},M,L,F,Y,{match:/\$[(.]/}]}}function LX(e){const t=MX(e),n=hi,r=["any","void","number","boolean","string","object","never","symbol","bigint","unknown"],a={beginKeywords:"namespace",end:/\{/,excludeEnd:!0,contains:[t.exports.CLASS_REFERENCE]},l={beginKeywords:"interface",end:/\{/,excludeEnd:!0,keywords:{keyword:"interface extends",built_in:r},contains:[t.exports.CLASS_REFERENCE]},u={className:"meta",relevance:10,begin:/^\s*['"]use strict['"]/},c=["type","namespace","interface","public","private","protected","implements","declare","abstract","readonly","enum","override"],d={$pattern:hi,keyword:gc.concat(c),literal:hc,built_in:Tc.concat(r),"variable.language":vc},g={className:"meta",begin:"@"+n},f=(p,m,y)=>{const b=p.contains.findIndex(C=>C.label===m);if(b===-1)throw new Error("can not find mode to replace");p.contains.splice(b,1,y)};Object.assign(t.keywords,d),t.exports.PARAMS_CONTAINS.push(g),t.contains=t.contains.concat([g,a,l]),f(t,"shebang",e.SHEBANG()),f(t,"use_strict",u);const h=t.contains.find(p=>p.label==="func.def");return h.relevance=0,Object.assign(t,{name:"TypeScript",aliases:["ts","tsx","mts","cts"]}),t}var kX=LX;function PX(e){return{name:"Vala",keywords:{keyword:"char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 uint16 uint32 uint64 float double bool struct enum string void weak unowned owned async signal static abstract interface override virtual delegate if while do for foreach else switch case break default return try catch public private protected internal using new this get set const stdout stdin stderr var",built_in:"DBus GLib CCode Gee Object Gtk Posix",literal:"false true null"},contains:[{className:"class",beginKeywords:"class interface namespace",end:/\{/,excludeEnd:!0,illegal:"[^,:\\n\\s\\.]",contains:[e.UNDERSCORE_TITLE_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",begin:'"""',end:'"""',relevance:5},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"^#",end:"$"}]}}var BX=PX;function FX(e){const t=e.regex,n={className:"string",begin:/"(""|[^/n])"C\b/},r={className:"string",begin:/"/,end:/"/,illegal:/\n/,contains:[{begin:/""/}]},a=/\d{1,2}\/\d{1,2}\/\d{4}/,l=/\d{4}-\d{1,2}-\d{1,2}/,u=/(\d|1[012])(:\d+){0,2} *(AM|PM)/,c=/\d{1,2}(:\d{1,2}){1,2}/,d={className:"literal",variants:[{begin:t.concat(/# */,t.either(l,a),/ *#/)},{begin:t.concat(/# */,c,/ *#/)},{begin:t.concat(/# */,u,/ *#/)},{begin:t.concat(/# */,t.either(l,a),/ +/,t.either(u,c),/ *#/)}]},g={className:"number",relevance:0,variants:[{begin:/\b\d[\d_]*((\.[\d_]+(E[+-]?[\d_]+)?)|(E[+-]?[\d_]+))[RFD@!#]?/},{begin:/\b\d[\d_]*((U?[SIL])|[%&])?/},{begin:/&H[\dA-F_]+((U?[SIL])|[%&])?/},{begin:/&O[0-7_]+((U?[SIL])|[%&])?/},{begin:/&B[01_]+((U?[SIL])|[%&])?/}]},f={className:"label",begin:/^\w+:/},h=e.COMMENT(/'''/,/$/,{contains:[{className:"doctag",begin:/<\/?/,end:/>/}]}),p=e.COMMENT(null,/$/,{variants:[{begin:/'/},{begin:/([\t ]|^)REM(?=\s)/}]});return{name:"Visual Basic .NET",aliases:["vb"],case_insensitive:!0,classNameAliases:{label:"symbol"},keywords:{keyword:"addhandler alias aggregate ansi as async assembly auto binary by byref byval call case catch class compare const continue custom declare default delegate dim distinct do each equals else elseif end enum erase error event exit explicit finally for friend from function get global goto group handles if implements imports in inherits interface into iterator join key let lib loop me mid module mustinherit mustoverride mybase myclass namespace narrowing new next notinheritable notoverridable of off on operator option optional order overloads overridable overrides paramarray partial preserve private property protected public raiseevent readonly redim removehandler resume return select set shadows shared skip static step stop structure strict sub synclock take text then throw to try unicode until using when where while widening with withevents writeonly yield",built_in:"addressof and andalso await directcast gettype getxmlnamespace is isfalse isnot istrue like mod nameof new not or orelse trycast typeof xor cbool cbyte cchar cdate cdbl cdec cint clng cobj csbyte cshort csng cstr cuint culng cushort",type:"boolean byte char date decimal double integer long object sbyte short single string uinteger ulong ushort",literal:"true false nothing"},illegal:"//|\\{|\\}|endif|gosub|variant|wend|^\\$ ",contains:[n,r,d,g,f,h,p,{className:"meta",begin:/[\t ]*#(const|disable|else|elseif|enable|end|externalsource|if|region)\b/,end:/$/,keywords:{keyword:"const disable else elseif enable end externalsource if region then"},contains:[p]}]}}var UX=FX;function GX(e){const t=e.regex,n=["lcase","month","vartype","instrrev","ubound","setlocale","getobject","rgb","getref","string","weekdayname","rnd","dateadd","monthname","now","day","minute","isarray","cbool","round","formatcurrency","conversions","csng","timevalue","second","year","space","abs","clng","timeserial","fixs","len","asc","isempty","maths","dateserial","atn","timer","isobject","filter","weekday","datevalue","ccur","isdate","instr","datediff","formatdatetime","replace","isnull","right","sgn","array","snumeric","log","cdbl","hex","chr","lbound","msgbox","ucase","getlocale","cos","cdate","cbyte","rtrim","join","hour","oct","typename","trim","strcomp","int","createobject","loadpicture","tan","formatnumber","mid","split","cint","sin","datepart","ltrim","sqr","time","derived","eval","date","formatpercent","exp","inputbox","left","ascw","chrw","regexp","cstr","err"],r=["server","response","request","scriptengine","scriptenginebuildversion","scriptengineminorversion","scriptenginemajorversion"],a={begin:t.concat(t.either(...n),"\\s*\\("),relevance:0,keywords:{built_in:n}};return{name:"VBScript",aliases:["vbs"],case_insensitive:!0,keywords:{keyword:["call","class","const","dim","do","loop","erase","execute","executeglobal","exit","for","each","next","function","if","then","else","on","error","option","explicit","new","private","property","let","get","public","randomize","redim","rem","select","case","set","stop","sub","while","wend","with","end","to","elseif","is","or","xor","and","not","class_initialize","class_terminate","default","preserve","in","me","byval","byref","step","resume","goto"],built_in:r,literal:["true","false","null","nothing","empty"]},illegal:"//",contains:[a,e.inherit(e.QUOTE_STRING_MODE,{contains:[{begin:'""'}]}),e.COMMENT(/'/,/$/,{relevance:0}),e.C_NUMBER_MODE]}}var qX=GX;function YX(e){return{name:"VBScript in HTML",subLanguage:"xml",contains:[{begin:"<%",end:"%>",subLanguage:"vbscript"}]}}var zX=YX;function $X(e){const t=e.regex,n={$pattern:/\$?[\w]+(\$[\w]+)*/,keyword:["accept_on","alias","always","always_comb","always_ff","always_latch","and","assert","assign","assume","automatic","before","begin","bind","bins","binsof","bit","break","buf|0","bufif0","bufif1","byte","case","casex","casez","cell","chandle","checker","class","clocking","cmos","config","const","constraint","context","continue","cover","covergroup","coverpoint","cross","deassign","default","defparam","design","disable","dist","do","edge","else","end","endcase","endchecker","endclass","endclocking","endconfig","endfunction","endgenerate","endgroup","endinterface","endmodule","endpackage","endprimitive","endprogram","endproperty","endspecify","endsequence","endtable","endtask","enum","event","eventually","expect","export","extends","extern","final","first_match","for","force","foreach","forever","fork","forkjoin","function","generate|5","genvar","global","highz0","highz1","if","iff","ifnone","ignore_bins","illegal_bins","implements","implies","import","incdir","include","initial","inout","input","inside","instance","int","integer","interconnect","interface","intersect","join","join_any","join_none","large","let","liblist","library","local","localparam","logic","longint","macromodule","matches","medium","modport","module","nand","negedge","nettype","new","nexttime","nmos","nor","noshowcancelled","not","notif0","notif1","or","output","package","packed","parameter","pmos","posedge","primitive","priority","program","property","protected","pull0","pull1","pulldown","pullup","pulsestyle_ondetect","pulsestyle_onevent","pure","rand","randc","randcase","randsequence","rcmos","real","realtime","ref","reg","reject_on","release","repeat","restrict","return","rnmos","rpmos","rtran","rtranif0","rtranif1","s_always","s_eventually","s_nexttime","s_until","s_until_with","scalared","sequence","shortint","shortreal","showcancelled","signed","small","soft","solve","specify","specparam","static","string","strong","strong0","strong1","struct","super","supply0","supply1","sync_accept_on","sync_reject_on","table","tagged","task","this","throughout","time","timeprecision","timeunit","tran","tranif0","tranif1","tri","tri0","tri1","triand","trior","trireg","type","typedef","union","unique","unique0","unsigned","until","until_with","untyped","use","uwire","var","vectored","virtual","void","wait","wait_order","wand","weak","weak0","weak1","while","wildcard","wire","with","within","wor","xnor","xor"],literal:["null"],built_in:["$finish","$stop","$exit","$fatal","$error","$warning","$info","$realtime","$time","$printtimescale","$bitstoreal","$bitstoshortreal","$itor","$signed","$cast","$bits","$stime","$timeformat","$realtobits","$shortrealtobits","$rtoi","$unsigned","$asserton","$assertkill","$assertpasson","$assertfailon","$assertnonvacuouson","$assertoff","$assertcontrol","$assertpassoff","$assertfailoff","$assertvacuousoff","$isunbounded","$sampled","$fell","$changed","$past_gclk","$fell_gclk","$changed_gclk","$rising_gclk","$steady_gclk","$coverage_control","$coverage_get","$coverage_save","$set_coverage_db_name","$rose","$stable","$past","$rose_gclk","$stable_gclk","$future_gclk","$falling_gclk","$changing_gclk","$display","$coverage_get_max","$coverage_merge","$get_coverage","$load_coverage_db","$typename","$unpacked_dimensions","$left","$low","$increment","$clog2","$ln","$log10","$exp","$sqrt","$pow","$floor","$ceil","$sin","$cos","$tan","$countbits","$onehot","$isunknown","$fatal","$warning","$dimensions","$right","$high","$size","$asin","$acos","$atan","$atan2","$hypot","$sinh","$cosh","$tanh","$asinh","$acosh","$atanh","$countones","$onehot0","$error","$info","$random","$dist_chi_square","$dist_erlang","$dist_exponential","$dist_normal","$dist_poisson","$dist_t","$dist_uniform","$q_initialize","$q_remove","$q_exam","$async$and$array","$async$nand$array","$async$or$array","$async$nor$array","$sync$and$array","$sync$nand$array","$sync$or$array","$sync$nor$array","$q_add","$q_full","$psprintf","$async$and$plane","$async$nand$plane","$async$or$plane","$async$nor$plane","$sync$and$plane","$sync$nand$plane","$sync$or$plane","$sync$nor$plane","$system","$display","$displayb","$displayh","$displayo","$strobe","$strobeb","$strobeh","$strobeo","$write","$readmemb","$readmemh","$writememh","$value$plusargs","$dumpvars","$dumpon","$dumplimit","$dumpports","$dumpportson","$dumpportslimit","$writeb","$writeh","$writeo","$monitor","$monitorb","$monitorh","$monitoro","$writememb","$dumpfile","$dumpoff","$dumpall","$dumpflush","$dumpportsoff","$dumpportsall","$dumpportsflush","$fclose","$fdisplay","$fdisplayb","$fdisplayh","$fdisplayo","$fstrobe","$fstrobeb","$fstrobeh","$fstrobeo","$swrite","$swriteb","$swriteh","$swriteo","$fscanf","$fread","$fseek","$fflush","$feof","$fopen","$fwrite","$fwriteb","$fwriteh","$fwriteo","$fmonitor","$fmonitorb","$fmonitorh","$fmonitoro","$sformat","$sformatf","$fgetc","$ungetc","$fgets","$sscanf","$rewind","$ftell","$ferror"]},r=["__FILE__","__LINE__"],a=["begin_keywords","celldefine","default_nettype","default_decay_time","default_trireg_strength","define","delay_mode_distributed","delay_mode_path","delay_mode_unit","delay_mode_zero","else","elsif","end_keywords","endcelldefine","endif","ifdef","ifndef","include","line","nounconnected_drive","pragma","resetall","timescale","unconnected_drive","undef","undefineall"];return{name:"Verilog",aliases:["v","sv","svh"],case_insensitive:!1,keywords:n,contains:[e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,{scope:"number",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/\b((\d+'([bhodBHOD]))[0-9xzXZa-fA-F_]+)/},{begin:/\B(('([bhodBHOD]))[0-9xzXZa-fA-F_]+)/},{begin:/\b[0-9][0-9_]*/,relevance:0}]},{scope:"variable",variants:[{begin:"#\\((?!parameter).+\\)"},{begin:"\\.\\w+",relevance:0}]},{scope:"variable.constant",match:t.concat(/`/,t.either(...r))},{scope:"meta",begin:t.concat(/`/,t.either(...a)),end:/$|\/\/|\/\*/,returnEnd:!0,keywords:a}]}}var HX=$X;function VX(e){const t="\\d(_|\\d)*",n="[eE][-+]?"+t,r=t+"(\\."+t+")?("+n+")?",a="\\w+",l=t+"#"+a+"(\\."+a+")?#("+n+")?",u="\\b("+l+"|"+r+")";return{name:"VHDL",case_insensitive:!0,keywords:{keyword:["abs","access","after","alias","all","and","architecture","array","assert","assume","assume_guarantee","attribute","begin","block","body","buffer","bus","case","component","configuration","constant","context","cover","disconnect","downto","default","else","elsif","end","entity","exit","fairness","file","for","force","function","generate","generic","group","guarded","if","impure","in","inertial","inout","is","label","library","linkage","literal","loop","map","mod","nand","new","next","nor","not","null","of","on","open","or","others","out","package","parameter","port","postponed","procedure","process","property","protected","pure","range","record","register","reject","release","rem","report","restrict","restrict_guarantee","return","rol","ror","select","sequence","severity","shared","signal","sla","sll","sra","srl","strong","subtype","then","to","transport","type","unaffected","units","until","use","variable","view","vmode","vprop","vunit","wait","when","while","with","xnor","xor"],built_in:["boolean","bit","character","integer","time","delay_length","natural","positive","string","bit_vector","file_open_kind","file_open_status","std_logic","std_logic_vector","unsigned","signed","boolean_vector","integer_vector","std_ulogic","std_ulogic_vector","unresolved_unsigned","u_unsigned","unresolved_signed","u_signed","real_vector","time_vector"],literal:["false","true","note","warning","error","failure","line","text","side","width"]},illegal:/\{/,contains:[e.C_BLOCK_COMMENT_MODE,e.COMMENT("--","$"),e.QUOTE_STRING_MODE,{className:"number",begin:u,relevance:0},{className:"string",begin:"'(U|X|0|1|Z|W|L|H|-)'",contains:[e.BACKSLASH_ESCAPE]},{className:"symbol",begin:"'[A-Za-z](_?[A-Za-z0-9])*",contains:[e.BACKSLASH_ESCAPE]}]}}var WX=VX;function KX(e){return{name:"Vim Script",keywords:{$pattern:/[!#@\w]+/,keyword:"N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope cp cpf cq cr cs cst cu cuna cunme cw delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu go gr grepa gu gv ha helpf helpg helpt hi hid his ia iabc if ij il im imapc ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf quita qa rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank",built_in:"synIDtrans atan2 range matcharg did_filetype asin feedkeys xor argv complete_check add getwinposx getqflist getwinposy screencol clearmatches empty extend getcmdpos mzeval garbagecollect setreg ceil sqrt diff_hlID inputsecret get getfperm getpid filewritable shiftwidth max sinh isdirectory synID system inputrestore winline atan visualmode inputlist tabpagewinnr round getregtype mapcheck hasmapto histdel argidx findfile sha256 exists toupper getcmdline taglist string getmatches bufnr strftime winwidth bufexists strtrans tabpagebuflist setcmdpos remote_read printf setloclist getpos getline bufwinnr float2nr len getcmdtype diff_filler luaeval resolve libcallnr foldclosedend reverse filter has_key bufname str2float strlen setline getcharmod setbufvar index searchpos shellescape undofile foldclosed setqflist buflisted strchars str2nr virtcol floor remove undotree remote_expr winheight gettabwinvar reltime cursor tabpagenr finddir localtime acos getloclist search tanh matchend rename gettabvar strdisplaywidth type abs py3eval setwinvar tolower wildmenumode log10 spellsuggest bufloaded synconcealed nextnonblank server2client complete settabwinvar executable input wincol setmatches getftype hlID inputsave searchpair or screenrow line settabvar histadd deepcopy strpart remote_peek and eval getftime submatch screenchar winsaveview matchadd mkdir screenattr getfontname libcall reltimestr getfsize winnr invert pow getbufline byte2line soundfold repeat fnameescape tagfiles sin strwidth spellbadword trunc maparg log lispindent hostname setpos globpath remote_foreground getchar synIDattr fnamemodify cscope_connection stridx winbufnr indent min complete_add nr2char searchpairpos inputdialog values matchlist items hlexists strridx browsedir expand fmod pathshorten line2byte argc count getwinvar glob foldtextresult getreg foreground cosh matchdelete has char2nr simplify histget searchdecl iconv winrestcmd pumvisible writefile foldlevel haslocaldir keys cos matchstr foldtext histnr tan tempname getcwd byteidx getbufvar islocked escape eventhandler remote_send serverlist winrestview synstack pyeval prevnonblank readfile cindent filereadable changenr exp"},illegal:/;/,contains:[e.NUMBER_MODE,{className:"string",begin:"'",end:"'",illegal:"\\n"},{className:"string",begin:/"(\\"|\n\\|[^"\n])*"/},e.COMMENT('"',"$"),{className:"variable",begin:/[bwtglsav]:[\w\d_]+/},{begin:[/\b(?:function|function!)/,/\s+/,e.IDENT_RE],className:{1:"keyword",3:"title"},end:"$",relevance:0,contains:[{className:"params",begin:"\\(",end:"\\)"}]},{className:"symbol",begin:/<[\w-]+>/}]}}var QX=KX;function jX(e){e.regex;const t=e.COMMENT(/\(;/,/;\)/);t.contains.push("self");const n=e.COMMENT(/;;/,/$/),r=["anyfunc","block","br","br_if","br_table","call","call_indirect","data","drop","elem","else","end","export","func","global.get","global.set","local.get","local.set","local.tee","get_global","get_local","global","if","import","local","loop","memory","memory.grow","memory.size","module","mut","nop","offset","param","result","return","select","set_global","set_local","start","table","tee_local","then","type","unreachable"],a={begin:[/(?:func|call|call_indirect)/,/\s+/,/\$[^\s)]+/],className:{1:"keyword",3:"title.function"}},l={className:"variable",begin:/\$[\w_]+/},u={match:/(\((?!;)|\))+/,className:"punctuation",relevance:0},c={className:"number",relevance:0,match:/[+-]?\b(?:\d(?:_?\d)*(?:\.\d(?:_?\d)*)?(?:[eE][+-]?\d(?:_?\d)*)?|0x[\da-fA-F](?:_?[\da-fA-F])*(?:\.[\da-fA-F](?:_?[\da-fA-D])*)?(?:[pP][+-]?\d(?:_?\d)*)?)\b|\binf\b|\bnan(?::0x[\da-fA-F](?:_?[\da-fA-D])*)?\b/},d={match:/(i32|i64|f32|f64)(?!\.)/,className:"type"},g={className:"keyword",match:/\b(f32|f64|i32|i64)(?:\.(?:abs|add|and|ceil|clz|const|convert_[su]\/i(?:32|64)|copysign|ctz|demote\/f64|div(?:_[su])?|eqz?|extend_[su]\/i32|floor|ge(?:_[su])?|gt(?:_[su])?|le(?:_[su])?|load(?:(?:8|16|32)_[su])?|lt(?:_[su])?|max|min|mul|nearest|neg?|or|popcnt|promote\/f32|reinterpret\/[fi](?:32|64)|rem_[su]|rot[lr]|shl|shr_[su]|store(?:8|16|32)?|sqrt|sub|trunc(?:_[su]\/f(?:32|64))?|wrap\/i64|xor))\b/};return{name:"WebAssembly",keywords:{$pattern:/[\w.]+/,keyword:r},contains:[n,t,{match:[/(?:offset|align)/,/\s*/,/=/],className:{1:"keyword",3:"operator"}},l,u,a,e.QUOTE_STRING_MODE,d,g,c]}}var XX=jX;function ZX(e){const t=e.regex,n=/[a-zA-Z]\w*/,r=["as","break","class","construct","continue","else","for","foreign","if","import","in","is","return","static","var","while"],a=["true","false","null"],l=["this","super"],u=["Bool","Class","Fiber","Fn","List","Map","Null","Num","Object","Range","Sequence","String","System"],c=["-","~",/\*/,"%",/\.\.\./,/\.\./,/\+/,"<<",">>",">=","<=","<",">",/\^/,/!=/,/!/,/\bis\b/,"==","&&","&",/\|\|/,/\|/,/\?:/,"="],d={relevance:0,match:t.concat(/\b(?!(if|while|for|else|super)\b)/,n,/(?=\s*[({])/),className:"title.function"},g={match:t.concat(t.either(t.concat(/\b(?!(if|while|for|else|super)\b)/,n),t.either(...c)),/(?=\s*\([^)]+\)\s*\{)/),className:"title.function",starts:{contains:[{begin:/\(/,end:/\)/,contains:[{relevance:0,scope:"params",match:n}]}]}},f={variants:[{match:[/class\s+/,n,/\s+is\s+/,n]},{match:[/class\s+/,n]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:r},h={relevance:0,match:t.either(...c),className:"operator"},p={className:"string",begin:/"""/,end:/"""/},m={className:"property",begin:t.concat(/\./,t.lookahead(n)),end:n,excludeBegin:!0,relevance:0},y={relevance:0,match:t.concat(/\b_/,n),scope:"variable"},b={relevance:0,match:/\b[A-Z]+[a-z]+([A-Z]+[a-z]+)*/,scope:"title.class",keywords:{_:u}},C=e.C_NUMBER_MODE,E={match:[n,/\s*/,/=/,/\s*/,/\(/,n,/\)\s*\{/],scope:{1:"title.function",3:"operator",6:"params"}},v=e.COMMENT(/\/\*\*/,/\*\//,{contains:[{match:/@[a-z]+/,scope:"doctag"},"self"]}),O={scope:"subst",begin:/%\(/,end:/\)/,contains:[C,b,d,y,h]},S={scope:"string",begin:/"/,end:/"/,contains:[O,{scope:"char.escape",variants:[{match:/\\\\|\\["0%abefnrtv]/},{match:/\\x[0-9A-F]{2}/},{match:/\\u[0-9A-F]{4}/},{match:/\\U[0-9A-F]{8}/}]}]};O.contains.push(S);const A=[...r,...l,...a],P={relevance:0,match:t.concat("\\b(?!",A.join("|"),"\\b)",/[a-zA-Z_]\w*(?:[?!]|\b)/),className:"variable"};return{name:"Wren",keywords:{keyword:r,"variable.language":l,literal:a},contains:[{scope:"comment",variants:[{begin:[/#!?/,/[A-Za-z_]+(?=\()/],beginScope:{},keywords:{literal:a},contains:[],end:/\)/},{begin:[/#!?/,/[A-Za-z_]+/],beginScope:{},end:/$/}]},C,S,p,v,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,b,f,E,g,d,h,y,m,P]}}var JX=ZX;function eZ(e){return{name:"Intel x86 Assembly",case_insensitive:!0,keywords:{$pattern:"[.%]?"+e.IDENT_RE,keyword:"lock rep repe repz repne repnz xaquire xrelease bnd nobnd aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63",built_in:"ip eip rip al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 cs ds es fs gs ss st st0 st1 st2 st3 st4 st5 st6 st7 mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 xmm0 xmm1 xmm2 xmm3 xmm4 xmm5 xmm6 xmm7 xmm8 xmm9 xmm10 xmm11 xmm12 xmm13 xmm14 xmm15 xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 k0 k1 k2 k3 k4 k5 k6 k7 bnd0 bnd1 bnd2 bnd3 cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d r0h r1h r2h r3h r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l db dw dd dq dt ddq do dy dz resb resw resd resq rest resdq reso resy resz incbin equ times byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr",meta:"%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif %if %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep %endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment .nolist __FILE__ __LINE__ __SECT__ __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ __UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__ __PASS__ struc endstruc istruc at iend align alignb sectalign daz nodaz up down zero default option assume public bits use16 use32 use64 default section segment absolute extern global common cpu float __utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ __float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ __Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__"},contains:[e.COMMENT(";","$",{relevance:0}),{className:"number",variants:[{begin:"\\b(?:([0-9][0-9_]*)?\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|(0[Xx])?[0-9][0-9_]*(\\.[0-9_]*)?(?:[pP](?:[+-]?[0-9_]+)?)?)\\b",relevance:0},{begin:"\\$[0-9][0-9A-Fa-f]*",relevance:0},{begin:"\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\b"},{begin:"\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\b"}]},e.QUOTE_STRING_MODE,{className:"string",variants:[{begin:"'",end:"[^\\\\]'"},{begin:"`",end:"[^\\\\]`"}],relevance:0},{className:"symbol",variants:[{begin:"^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)"},{begin:"^\\s*%%[A-Za-z0-9_$#@~.?]*:"}],relevance:0},{className:"subst",begin:"%[0-9]+",relevance:0},{className:"subst",begin:"%!S+",relevance:0},{className:"meta",begin:/^\s*\.[\w_-]+/}]}}var tZ=eZ;function nZ(e){const t=["if","then","else","do","while","until","for","loop","import","with","is","as","where","when","by","data","constant","integer","real","text","name","boolean","symbol","infix","prefix","postfix","block","tree"],n=["in","mod","rem","and","or","xor","not","abs","sign","floor","ceil","sqrt","sin","cos","tan","asin","acos","atan","exp","expm1","log","log2","log10","log1p","pi","at","text_length","text_range","text_find","text_replace","contains","page","slide","basic_slide","title_slide","title","subtitle","fade_in","fade_out","fade_at","clear_color","color","line_color","line_width","texture_wrap","texture_transform","texture","scale_?x","scale_?y","scale_?z?","translate_?x","translate_?y","translate_?z?","rotate_?x","rotate_?y","rotate_?z?","rectangle","circle","ellipse","sphere","path","line_to","move_to","quad_to","curve_to","theme","background","contents","locally","time","mouse_?x","mouse_?y","mouse_buttons"],r=["ObjectLoader","Animate","MovieCredits","Slides","Filters","Shading","Materials","LensFlare","Mapping","VLCAudioVideo","StereoDecoder","PointCloud","NetworkAccess","RemoteControl","RegExp","ChromaKey","Snowfall","NodeJS","Speech","Charts"],l={$pattern:/[a-zA-Z][a-zA-Z0-9_?]*/,keyword:t,literal:["true","false","nil"],built_in:n.concat(r)},u={className:"string",begin:'"',end:'"',illegal:"\\n"},c={className:"string",begin:"'",end:"'",illegal:"\\n"},d={className:"string",begin:"<<",end:">>"},g={className:"number",begin:"[0-9]+#[0-9A-Z_]+(\\.[0-9-A-Z_]+)?#?([Ee][+-]?[0-9]+)?"},f={beginKeywords:"import",end:"$",keywords:l,contains:[u]},h={className:"function",begin:/[a-z][^\n]*->/,returnBegin:!0,end:/->/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,keywords:l}})]};return{name:"XL",aliases:["tao"],keywords:l,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,u,c,d,h,f,g,e.NUMBER_MODE]}}var rZ=nZ;function iZ(e){return{name:"XQuery",aliases:["xpath","xq"],case_insensitive:!1,illegal:/(proc)|(abstract)|(extends)|(until)|(#)/,keywords:{$pattern:/[a-zA-Z$][a-zA-Z0-9_:-]*/,keyword:["module","schema","namespace","boundary-space","preserve","no-preserve","strip","default","collation","base-uri","ordering","context","decimal-format","decimal-separator","copy-namespaces","empty-sequence","except","exponent-separator","external","grouping-separator","inherit","no-inherit","lax","minus-sign","per-mille","percent","schema-attribute","schema-element","strict","unordered","zero-digit","declare","import","option","function","validate","variable","for","at","in","let","where","order","group","by","return","if","then","else","tumbling","sliding","window","start","when","only","end","previous","next","stable","ascending","descending","allowing","empty","greatest","least","some","every","satisfies","switch","case","typeswitch","try","catch","and","or","to","union","intersect","instance","of","treat","as","castable","cast","map","array","delete","insert","into","replace","value","rename","copy","modify","update"],type:["item","document-node","node","attribute","document","element","comment","namespace","namespace-node","processing-instruction","text","construction","xs:anyAtomicType","xs:untypedAtomic","xs:duration","xs:time","xs:decimal","xs:float","xs:double","xs:gYearMonth","xs:gYear","xs:gMonthDay","xs:gMonth","xs:gDay","xs:boolean","xs:base64Binary","xs:hexBinary","xs:anyURI","xs:QName","xs:NOTATION","xs:dateTime","xs:dateTimeStamp","xs:date","xs:string","xs:normalizedString","xs:token","xs:language","xs:NMTOKEN","xs:Name","xs:NCName","xs:ID","xs:IDREF","xs:ENTITY","xs:integer","xs:nonPositiveInteger","xs:negativeInteger","xs:long","xs:int","xs:short","xs:byte","xs:nonNegativeInteger","xs:unisignedLong","xs:unsignedInt","xs:unsignedShort","xs:unsignedByte","xs:positiveInteger","xs:yearMonthDuration","xs:dayTimeDuration"],literal:["eq","ne","lt","le","gt","ge","is","self::","child::","descendant::","descendant-or-self::","attribute::","following::","following-sibling::","parent::","ancestor::","ancestor-or-self::","preceding::","preceding-sibling::","NaN"]},contains:[{className:"variable",begin:/[$][\w\-:]+/},{className:"built_in",variants:[{begin:/\barray:/,end:/(?:append|filter|flatten|fold-(?:left|right)|for-each(?:-pair)?|get|head|insert-before|join|put|remove|reverse|size|sort|subarray|tail)\b/},{begin:/\bmap:/,end:/(?:contains|entry|find|for-each|get|keys|merge|put|remove|size)\b/},{begin:/\bmath:/,end:/(?:a(?:cos|sin|tan[2]?)|cos|exp(?:10)?|log(?:10)?|pi|pow|sin|sqrt|tan)\b/},{begin:/\bop:/,end:/\(/,excludeEnd:!0},{begin:/\bfn:/,end:/\(/,excludeEnd:!0},{begin:/[^/,end:/(\/[\w._:-]+>)/,subLanguage:"xml",contains:[{begin:/\{/,end:/\}/,subLanguage:"xquery"},"self"]}]}}var aZ=iZ;function oZ(e){const t={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},n=e.UNDERSCORE_TITLE_MODE,r={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]},a="namespace class interface use extends function return abstract final public protected private static deprecated throw try catch Exception echo empty isset instanceof unset let var new const self require if else elseif switch case default do while loop for continue break likely unlikely __LINE__ __FILE__ __DIR__ __FUNCTION__ __CLASS__ __TRAIT__ __METHOD__ __NAMESPACE__ array boolean float double integer object resource string char long unsigned bool int uint ulong uchar true false null undefined";return{name:"Zephir",aliases:["zep"],keywords:a,contains:[e.C_LINE_COMMENT_MODE,e.COMMENT(/\/\*/,/\*\//,{contains:[{className:"doctag",begin:/@[A-Za-z]+/}]}),{className:"string",begin:/<<<['"]?\w+['"]?$/,end:/^\w+;/,contains:[e.BACKSLASH_ESCAPE]},{begin:/(::|->)+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/},{className:"function",beginKeywords:"function fn",end:/[;{]/,excludeEnd:!0,illegal:/\$|\[|%/,contains:[n,{className:"params",begin:/\(/,end:/\)/,keywords:a,contains:["self",e.C_BLOCK_COMMENT_MODE,t,r]}]},{className:"class",beginKeywords:"class interface",end:/\{/,excludeEnd:!0,illegal:/[:($"]/,contains:[{beginKeywords:"extends implements"},n]},{beginKeywords:"namespace",end:/;/,illegal:/[.']/,contains:[n]},{beginKeywords:"use",end:/;/,contains:[n]},{begin:/=>/},t,r]}}var sZ=oZ,oe=r$;oe.registerLanguage("1c",a$);oe.registerLanguage("abnf",s$);oe.registerLanguage("accesslog",c$);oe.registerLanguage("actionscript",d$);oe.registerLanguage("ada",p$);oe.registerLanguage("angelscript",f$);oe.registerLanguage("apache",h$);oe.registerLanguage("applescript",S$);oe.registerLanguage("arcade",v$);oe.registerLanguage("arduino",C$);oe.registerLanguage("armasm",O$);oe.registerLanguage("xml",A$);oe.registerLanguage("asciidoc",D$);oe.registerLanguage("aspectj",w$);oe.registerLanguage("autohotkey",L$);oe.registerLanguage("autoit",P$);oe.registerLanguage("avrasm",F$);oe.registerLanguage("awk",G$);oe.registerLanguage("axapta",Y$);oe.registerLanguage("bash",$$);oe.registerLanguage("basic",V$);oe.registerLanguage("bnf",K$);oe.registerLanguage("brainfuck",j$);oe.registerLanguage("c",Z$);oe.registerLanguage("cal",eH);oe.registerLanguage("capnproto",nH);oe.registerLanguage("ceylon",iH);oe.registerLanguage("clean",oH);oe.registerLanguage("clojure",lH);oe.registerLanguage("clojure-repl",uH);oe.registerLanguage("cmake",_H);oe.registerLanguage("coffeescript",bH);oe.registerLanguage("coq",TH);oe.registerLanguage("cos",CH);oe.registerLanguage("cpp",OH);oe.registerLanguage("crmsh",AH);oe.registerLanguage("crystal",DH);oe.registerLanguage("csharp",wH);oe.registerLanguage("csp",LH);oe.registerLanguage("css",YH);oe.registerLanguage("d",$H);oe.registerLanguage("markdown",VH);oe.registerLanguage("dart",KH);oe.registerLanguage("delphi",jH);oe.registerLanguage("diff",ZH);oe.registerLanguage("django",eV);oe.registerLanguage("dns",nV);oe.registerLanguage("dockerfile",iV);oe.registerLanguage("dos",oV);oe.registerLanguage("dsconfig",lV);oe.registerLanguage("dts",uV);oe.registerLanguage("dust",_V);oe.registerLanguage("ebnf",mV);oe.registerLanguage("elixir",gV);oe.registerLanguage("elm",EV);oe.registerLanguage("ruby",bV);oe.registerLanguage("erb",TV);oe.registerLanguage("erlang-repl",CV);oe.registerLanguage("erlang",OV);oe.registerLanguage("excel",AV);oe.registerLanguage("fix",DV);oe.registerLanguage("flix",wV);oe.registerLanguage("fortran",LV);oe.registerLanguage("fsharp",FV);oe.registerLanguage("gams",GV);oe.registerLanguage("gauss",YV);oe.registerLanguage("gcode",$V);oe.registerLanguage("gherkin",VV);oe.registerLanguage("glsl",KV);oe.registerLanguage("gml",jV);oe.registerLanguage("go",ZV);oe.registerLanguage("golo",eW);oe.registerLanguage("gradle",nW);oe.registerLanguage("graphql",iW);oe.registerLanguage("groovy",oW);oe.registerLanguage("haml",lW);oe.registerLanguage("handlebars",uW);oe.registerLanguage("haskell",_W);oe.registerLanguage("haxe",mW);oe.registerLanguage("hsp",gW);oe.registerLanguage("http",EW);oe.registerLanguage("hy",bW);oe.registerLanguage("inform7",TW);oe.registerLanguage("ini",CW);oe.registerLanguage("irpf90",OW);oe.registerLanguage("isbl",AW);oe.registerLanguage("java",DW);oe.registerLanguage("javascript",PW);oe.registerLanguage("jboss-cli",FW);oe.registerLanguage("json",GW);oe.registerLanguage("julia",YW);oe.registerLanguage("julia-repl",$W);oe.registerLanguage("kotlin",WW);oe.registerLanguage("lasso",QW);oe.registerLanguage("latex",XW);oe.registerLanguage("ldif",JW);oe.registerLanguage("leaf",tK);oe.registerLanguage("less",lK);oe.registerLanguage("lisp",uK);oe.registerLanguage("livecodeserver",_K);oe.registerLanguage("livescript",bK);oe.registerLanguage("llvm",TK);oe.registerLanguage("lsl",CK);oe.registerLanguage("lua",OK);oe.registerLanguage("makefile",AK);oe.registerLanguage("mathematica",xK);oe.registerLanguage("matlab",MK);oe.registerLanguage("maxima",kK);oe.registerLanguage("mel",BK);oe.registerLanguage("mercury",UK);oe.registerLanguage("mipsasm",qK);oe.registerLanguage("mizar",zK);oe.registerLanguage("perl",HK);oe.registerLanguage("mojolicious",WK);oe.registerLanguage("monkey",QK);oe.registerLanguage("moonscript",XK);oe.registerLanguage("n1ql",JK);oe.registerLanguage("nestedtext",tQ);oe.registerLanguage("nginx",rQ);oe.registerLanguage("nim",aQ);oe.registerLanguage("nix",sQ);oe.registerLanguage("node-repl",cQ);oe.registerLanguage("nsis",dQ);oe.registerLanguage("objectivec",pQ);oe.registerLanguage("ocaml",fQ);oe.registerLanguage("openscad",hQ);oe.registerLanguage("oxygene",SQ);oe.registerLanguage("parser3",vQ);oe.registerLanguage("pf",yQ);oe.registerLanguage("pgsql",RQ);oe.registerLanguage("php",NQ);oe.registerLanguage("php-template",IQ);oe.registerLanguage("plaintext",xQ);oe.registerLanguage("pony",MQ);oe.registerLanguage("powershell",kQ);oe.registerLanguage("processing",BQ);oe.registerLanguage("profile",UQ);oe.registerLanguage("prolog",qQ);oe.registerLanguage("properties",zQ);oe.registerLanguage("protobuf",HQ);oe.registerLanguage("puppet",WQ);oe.registerLanguage("purebasic",QQ);oe.registerLanguage("python",XQ);oe.registerLanguage("python-repl",JQ);oe.registerLanguage("q",tj);oe.registerLanguage("qml",rj);oe.registerLanguage("r",aj);oe.registerLanguage("reasonml",sj);oe.registerLanguage("rib",cj);oe.registerLanguage("roboconf",dj);oe.registerLanguage("routeros",pj);oe.registerLanguage("rsl",fj);oe.registerLanguage("ruleslanguage",hj);oe.registerLanguage("rust",Sj);oe.registerLanguage("sas",vj);oe.registerLanguage("scala",yj);oe.registerLanguage("scheme",Rj);oe.registerLanguage("scilab",Nj);oe.registerLanguage("scss",kj);oe.registerLanguage("shell",Bj);oe.registerLanguage("smali",Uj);oe.registerLanguage("smalltalk",qj);oe.registerLanguage("sml",zj);oe.registerLanguage("sqf",Hj);oe.registerLanguage("sql",Wj);oe.registerLanguage("stan",Qj);oe.registerLanguage("stata",Xj);oe.registerLanguage("step21",Jj);oe.registerLanguage("stylus",sX);oe.registerLanguage("subunit",cX);oe.registerLanguage("swift",EX);oe.registerLanguage("taggerscript",bX);oe.registerLanguage("yaml",TX);oe.registerLanguage("tap",CX);oe.registerLanguage("tcl",OX);oe.registerLanguage("thrift",AX);oe.registerLanguage("tp",DX);oe.registerLanguage("twig",wX);oe.registerLanguage("typescript",kX);oe.registerLanguage("vala",BX);oe.registerLanguage("vbnet",UX);oe.registerLanguage("vbscript",qX);oe.registerLanguage("vbscript-html",zX);oe.registerLanguage("verilog",HX);oe.registerLanguage("vhdl",WX);oe.registerLanguage("vim",QX);oe.registerLanguage("wasm",XX);oe.registerLanguage("wren",JX);oe.registerLanguage("x86asm",tZ);oe.registerLanguage("xl",rZ);oe.registerLanguage("xquery",aZ);oe.registerLanguage("zephir",sZ);oe.HighlightJS=oe;oe.default=oe;var lZ=oe,ka=lZ;function cZ(e,t){var n,r,a=e.attrs[e.attrIndex("href")][1];for(n=0;n15?V="\u2026"+B.slice(_-15,_):V=B.slice(0,_);var ne;T+15":">","<":"<",'"':""","'":"'"},h=/[&><"']/g;function p(N){return String(N).replace(h,function(i){return f[i]})}var m=function N(i){return i.type==="ordgroup"||i.type==="color"?i.body.length===1?N(i.body[0]):i:i.type==="font"?N(i.body):i},y=function(i){var o=m(i);return o.type==="mathord"||o.type==="textord"||o.type==="atom"},b=function(i){if(!i)throw new Error("Expected non-null, but got "+String(i));return i},C=function(i){var o=/^\s*([^\\/#]*?)(?::|�*58|�*3a)/i.exec(i);return o!=null?o[1]:"_relative"},E={contains:u,deflt:c,escape:p,hyphenate:g,getBaseElem:m,isCharacterBox:y,protocolFromUrl:C},v={displayMode:{type:"boolean",description:"Render math in display mode, which puts the math in display style (so \\int and \\sum are large, for example), and centers the math on the page on its own line.",cli:"-d, --display-mode"},output:{type:{enum:["htmlAndMathml","html","mathml"]},description:"Determines the markup language of the output.",cli:"-F, --format "},leqno:{type:"boolean",description:"Render display math in leqno style (left-justified tags)."},fleqn:{type:"boolean",description:"Render display math flush left."},throwOnError:{type:"boolean",default:!0,cli:"-t, --no-throw-on-error",cliDescription:"Render errors (in the color given by --error-color) instead of throwing a ParseError exception when encountering an error."},errorColor:{type:"string",default:"#cc0000",cli:"-c, --error-color ",cliDescription:"A color string given in the format 'rgb' or 'rrggbb' (no #). This option determines the color of errors rendered by the -t option.",cliProcessor:function(i){return"#"+i}},macros:{type:"object",cli:"-m, --macro ",cliDescription:"Define custom macro of the form '\\foo:expansion' (use multiple -m arguments for multiple macros).",cliDefault:[],cliProcessor:function(i,o){return o.push(i),o}},minRuleThickness:{type:"number",description:"Specifies a minimum thickness, in ems, for fraction lines, `\\sqrt` top lines, `{array}` vertical lines, `\\hline`, `\\hdashline`, `\\underline`, `\\overline`, and the borders of `\\fbox`, `\\boxed`, and `\\fcolorbox`.",processor:function(i){return Math.max(0,i)},cli:"--min-rule-thickness ",cliProcessor:parseFloat},colorIsTextColor:{type:"boolean",description:"Makes \\color behave like LaTeX's 2-argument \\textcolor, instead of LaTeX's one-argument \\color mode change.",cli:"-b, --color-is-text-color"},strict:{type:[{enum:["warn","ignore","error"]},"boolean","function"],description:"Turn on strict / LaTeX faithfulness mode, which throws an error if the input uses features that are not supported by LaTeX.",cli:"-S, --strict",cliDefault:!1},trust:{type:["boolean","function"],description:"Trust the input, enabling all HTML features such as \\url.",cli:"-T, --trust"},maxSize:{type:"number",default:1/0,description:"If non-zero, all user-specified sizes, e.g. in \\rule{500em}{500em}, will be capped to maxSize ems. Otherwise, elements and spaces can be arbitrarily large",processor:function(i){return Math.max(0,i)},cli:"-s, --max-size ",cliProcessor:parseInt},maxExpand:{type:"number",default:1e3,description:"Limit the number of macro expansions to the specified number, to prevent e.g. infinite macro loops. If set to Infinity, the macro expander will try to fully expand as in LaTeX.",processor:function(i){return Math.max(0,i)},cli:"-e, --max-expand ",cliProcessor:function(i){return i==="Infinity"?1/0:parseInt(i)}},globalGroup:{type:"boolean",cli:!1}};function O(N){if(N.default)return N.default;var i=N.type,o=Array.isArray(i)?i[0]:i;if(typeof o!="string")return o.enum[0];switch(o){case"boolean":return!1;case"string":return"";case"number":return 0;case"object":return{}}}var S=function(){function N(o){this.displayMode=void 0,this.output=void 0,this.leqno=void 0,this.fleqn=void 0,this.throwOnError=void 0,this.errorColor=void 0,this.macros=void 0,this.minRuleThickness=void 0,this.colorIsTextColor=void 0,this.strict=void 0,this.trust=void 0,this.maxSize=void 0,this.maxExpand=void 0,this.globalGroup=void 0,o=o||{};for(var s in v)if(v.hasOwnProperty(s)){var _=v[s];this[s]=o[s]!==void 0?_.processor?_.processor(o[s]):o[s]:O(_)}}var i=N.prototype;return i.reportNonstrict=function(s,_,T){var I=this.strict;if(typeof I=="function"&&(I=I(s,_,T)),!(!I||I==="ignore")){if(I===!0||I==="error")throw new l("LaTeX-incompatible input and strict mode is set to 'error': "+(_+" ["+s+"]"),T);I==="warn"?typeof console!="undefined"&&console.warn("LaTeX-incompatible input and strict mode is set to 'warn': "+(_+" ["+s+"]")):typeof console!="undefined"&&console.warn("LaTeX-incompatible input and strict mode is set to "+("unrecognized '"+I+"': "+_+" ["+s+"]"))}},i.useStrictBehavior=function(s,_,T){var I=this.strict;if(typeof I=="function")try{I=I(s,_,T)}catch{I="error"}return!I||I==="ignore"?!1:I===!0||I==="error"?!0:I==="warn"?(typeof console!="undefined"&&console.warn("LaTeX-incompatible input and strict mode is set to 'warn': "+(_+" ["+s+"]")),!1):(typeof console!="undefined"&&console.warn("LaTeX-incompatible input and strict mode is set to "+("unrecognized '"+I+"': "+_+" ["+s+"]")),!1)},i.isTrusted=function(s){s.url&&!s.protocol&&(s.protocol=E.protocolFromUrl(s.url));var _=typeof this.trust=="function"?this.trust(s):this.trust;return Boolean(_)},N}(),A=function(){function N(o,s,_){this.id=void 0,this.size=void 0,this.cramped=void 0,this.id=o,this.size=s,this.cramped=_}var i=N.prototype;return i.sup=function(){return x[Y[this.id]]},i.sub=function(){return x[J[this.id]]},i.fracNum=function(){return x[K[this.id]]},i.fracDen=function(){return x[re[this.id]]},i.cramp=function(){return x[Z[this.id]]},i.text=function(){return x[j[this.id]]},i.isTight=function(){return this.size>=2},N}(),P=0,F=1,G=2,z=3,k=4,L=5,w=6,M=7,x=[new A(P,0,!1),new A(F,0,!0),new A(G,1,!1),new A(z,1,!0),new A(k,2,!1),new A(L,2,!0),new A(w,3,!1),new A(M,3,!0)],Y=[k,L,k,L,w,M,w,M],J=[L,L,L,L,M,M,M,M],K=[G,z,k,L,w,M,w,M],re=[z,z,L,L,M,M,M,M],Z=[F,F,z,z,L,L,M,M],j=[P,F,G,z,G,z,G,z],U={DISPLAY:x[P],TEXT:x[G],SCRIPT:x[k],SCRIPTSCRIPT:x[w]},Q=[{name:"latin",blocks:[[256,591],[768,879]]},{name:"cyrillic",blocks:[[1024,1279]]},{name:"armenian",blocks:[[1328,1423]]},{name:"brahmic",blocks:[[2304,4255]]},{name:"georgian",blocks:[[4256,4351]]},{name:"cjk",blocks:[[12288,12543],[19968,40879],[65280,65376]]},{name:"hangul",blocks:[[44032,55215]]}];function X(N){for(var i=0;i=_[0]&&N<=_[1])return o.name}return null}var le=[];Q.forEach(function(N){return N.blocks.forEach(function(i){return le.push.apply(le,i)})});function ae(N){for(var i=0;i=le[i]&&N<=le[i+1])return!0;return!1}var W=80,ie=function(i,o){return"M95,"+(622+i+o)+` c-2.7,0,-7.17,-2.7,-13.5,-8c-5.8,-5.3,-9.5,-10,-9.5,-14 c0,-2,0.3,-3.3,1,-4c1.3,-2.7,23.83,-20.7,67.5,-54 c44.2,-33.3,65.8,-50.3,66.5,-51c1.3,-1.3,3,-2,5,-2c4.7,0,8.7,3.3,12,10 s173,378,173,378c0.7,0,35.3,-71,104,-213c68.7,-142,137.5,-285,206.5,-429 c69,-144,104.5,-217.7,106.5,-221 l`+i/2.075+" -"+i+` c5.3,-9.3,12,-14,20,-14 H400000v`+(40+i)+`H845.2724 s-225.272,467,-225.272,467s-235,486,-235,486c-2.7,4.7,-9,7,-19,7 c-6,0,-10,-1,-12,-3s-194,-422,-194,-422s-65,47,-65,47z M`+(834+i)+" "+o+"h400000v"+(40+i)+"h-400000z"},de=function(i,o){return"M263,"+(601+i+o)+`c0.7,0,18,39.7,52,119 c34,79.3,68.167,158.7,102.5,238c34.3,79.3,51.8,119.3,52.5,120 c340,-704.7,510.7,-1060.3,512,-1067 l`+i/2.084+" -"+i+` c4.7,-7.3,11,-11,19,-11 H40000v`+(40+i)+`H1012.3 s-271.3,567,-271.3,567c-38.7,80.7,-84,175,-136,283c-52,108,-89.167,185.3,-111.5,232 c-22.3,46.7,-33.8,70.3,-34.5,71c-4.7,4.7,-12.3,7,-23,7s-12,-1,-12,-1 s-109,-253,-109,-253c-72.7,-168,-109.3,-252,-110,-252c-10.7,8,-22,16.7,-34,26 c-22,17.3,-33.3,26,-34,26s-26,-26,-26,-26s76,-59,76,-59s76,-60,76,-60z M`+(1001+i)+" "+o+"h400000v"+(40+i)+"h-400000z"},ce=function(i,o){return"M983 "+(10+i+o)+` l`+i/3.13+" -"+i+` c4,-6.7,10,-10,18,-10 H400000v`+(40+i)+` H1013.1s-83.4,268,-264.1,840c-180.7,572,-277,876.3,-289,913c-4.7,4.7,-12.7,7,-24,7 s-12,0,-12,0c-1.3,-3.3,-3.7,-11.7,-7,-25c-35.3,-125.3,-106.7,-373.3,-214,-744 c-10,12,-21,25,-33,39s-32,39,-32,39c-6,-5.3,-15,-14,-27,-26s25,-30,25,-30 c26.7,-32.7,52,-63,76,-91s52,-60,52,-60s208,722,208,722 c56,-175.3,126.3,-397.3,211,-666c84.7,-268.7,153.8,-488.2,207.5,-658.5 c53.7,-170.3,84.5,-266.8,92.5,-289.5z M`+(1001+i)+" "+o+"h400000v"+(40+i)+"h-400000z"},Ee=function(i,o){return"M424,"+(2398+i+o)+` c-1.3,-0.7,-38.5,-172,-111.5,-514c-73,-342,-109.8,-513.3,-110.5,-514 c0,-2,-10.7,14.3,-32,49c-4.7,7.3,-9.8,15.7,-15.5,25c-5.7,9.3,-9.8,16,-12.5,20 s-5,7,-5,7c-4,-3.3,-8.3,-7.7,-13,-13s-13,-13,-13,-13s76,-122,76,-122s77,-121,77,-121 s209,968,209,968c0,-2,84.7,-361.7,254,-1079c169.3,-717.3,254.7,-1077.7,256,-1081 l`+i/4.223+" -"+i+`c4,-6.7,10,-10,18,-10 H400000 v`+(40+i)+`H1014.6 s-87.3,378.7,-272.6,1166c-185.3,787.3,-279.3,1182.3,-282,1185 c-2,6,-10,9,-24,9 c-8,0,-12,-0.7,-12,-2z M`+(1001+i)+" "+o+` h400000v`+(40+i)+"h-400000z"},Ie=function(i,o){return"M473,"+(2713+i+o)+` c339.3,-1799.3,509.3,-2700,510,-2702 l`+i/5.298+" -"+i+` c3.3,-7.3,9.3,-11,18,-11 H400000v`+(40+i)+`H1017.7 s-90.5,478,-276.2,1466c-185.7,988,-279.5,1483,-281.5,1485c-2,6,-10,9,-24,9 c-8,0,-12,-0.7,-12,-2c0,-1.3,-5.3,-32,-16,-92c-50.7,-293.3,-119.7,-693.3,-207,-1200 c0,-1.3,-5.3,8.7,-16,30c-10.7,21.3,-21.3,42.7,-32,64s-16,33,-16,33s-26,-26,-26,-26 s76,-153,76,-153s77,-151,77,-151c0.7,0.7,35.7,202,105,604c67.3,400.7,102,602.7,104, 606zM`+(1001+i)+" "+o+"h400000v"+(40+i)+"H1017.7z"},Le=function(i){var o=i/2;return"M400000 "+i+" H0 L"+o+" 0 l65 45 L145 "+(i-80)+" H400000z"},Ue=function(i,o,s){var _=s-54-o-i;return"M702 "+(i+o)+"H400000"+(40+i)+` H742v`+_+`l-4 4-4 4c-.667.7 -2 1.5-4 2.5s-4.167 1.833-6.5 2.5-5.5 1-9.5 1 h-12l-28-84c-16.667-52-96.667 -294.333-240-727l-212 -643 -85 170 c-4-3.333-8.333-7.667-13 -13l-13-13l77-155 77-156c66 199.333 139 419.667 219 661 l218 661zM702 `+o+"H400000v"+(40+i)+"H742z"},Ye=function(i,o,s){o=1e3*o;var _="";switch(i){case"sqrtMain":_=ie(o,W);break;case"sqrtSize1":_=de(o,W);break;case"sqrtSize2":_=ce(o,W);break;case"sqrtSize3":_=Ee(o,W);break;case"sqrtSize4":_=Ie(o,W);break;case"sqrtTall":_=Ue(o,W,s)}return _},We=function(i,o){switch(i){case"\u239C":return"M291 0 H417 V"+o+" H291z M291 0 H417 V"+o+" H291z";case"\u2223":return"M145 0 H188 V"+o+" H145z M145 0 H188 V"+o+" H145z";case"\u2225":return"M145 0 H188 V"+o+" H145z M145 0 H188 V"+o+" H145z"+("M367 0 H410 V"+o+" H367z M367 0 H410 V"+o+" H367z");case"\u239F":return"M457 0 H583 V"+o+" H457z M457 0 H583 V"+o+" H457z";case"\u23A2":return"M319 0 H403 V"+o+" H319z M319 0 H403 V"+o+" H319z";case"\u23A5":return"M263 0 H347 V"+o+" H263z M263 0 H347 V"+o+" H263z";case"\u23AA":return"M384 0 H504 V"+o+" H384z M384 0 H504 V"+o+" H384z";case"\u23D0":return"M312 0 H355 V"+o+" H312z M312 0 H355 V"+o+" H312z";case"\u2016":return"M257 0 H300 V"+o+" H257z M257 0 H300 V"+o+" H257z"+("M478 0 H521 V"+o+" H478z M478 0 H521 V"+o+" H478z");default:return""}},Je={doubleleftarrow:`M262 157 l10-10c34-36 62.7-77 86-123 3.3-8 5-13.3 5-16 0-5.3-6.7-8-20-8-7.3 0-12.2.5-14.5 1.5-2.3 1-4.8 4.5-7.5 10.5-49.3 97.3-121.7 169.3-217 216-28 14-57.3 25-88 33-6.7 2-11 3.8-13 5.5-2 1.7-3 4.2-3 7.5s1 5.8 3 7.5 c2 1.7 6.3 3.5 13 5.5 68 17.3 128.2 47.8 180.5 91.5 52.3 43.7 93.8 96.2 124.5 157.5 9.3 8 15.3 12.3 18 13h6c12-.7 18-4 18-10 0-2-1.7-7-5-15-23.3-46-52-87 -86-123l-10-10h399738v-40H218c328 0 0 0 0 0l-10-8c-26.7-20-65.7-43-117-69 2.7 -2 6-3.7 10-5 36.7-16 72.3-37.3 107-64l10-8h399782v-40z m8 0v40h399730v-40zm0 194v40h399730v-40z`,doublerightarrow:`M399738 392l -10 10c-34 36-62.7 77-86 123-3.3 8-5 13.3-5 16 0 5.3 6.7 8 20 8 7.3 0 12.2-.5 14.5-1.5 2.3-1 4.8-4.5 7.5-10.5 49.3-97.3 121.7-169.3 217-216 28-14 57.3-25 88 -33 6.7-2 11-3.8 13-5.5 2-1.7 3-4.2 3-7.5s-1-5.8-3-7.5c-2-1.7-6.3-3.5-13-5.5-68 -17.3-128.2-47.8-180.5-91.5-52.3-43.7-93.8-96.2-124.5-157.5-9.3-8-15.3-12.3-18 -13h-6c-12 .7-18 4-18 10 0 2 1.7 7 5 15 23.3 46 52 87 86 123l10 10H0v40h399782 c-328 0 0 0 0 0l10 8c26.7 20 65.7 43 117 69-2.7 2-6 3.7-10 5-36.7 16-72.3 37.3 -107 64l-10 8H0v40zM0 157v40h399730v-40zm0 194v40h399730v-40z`,leftarrow:`M400000 241H110l3-3c68.7-52.7 113.7-120 135-202 4-14.7 6-23 6-25 0-7.3-7-11-21-11-8 0-13.2.8-15.5 2.5-2.3 1.7-4.2 5.8 -5.5 12.5-1.3 4.7-2.7 10.3-4 17-12 48.7-34.8 92-68.5 130S65.3 228.3 18 247 c-10 4-16 7.7-18 11 0 8.7 6 14.3 18 17 47.3 18.7 87.8 47 121.5 85S196 441.3 208 490c.7 2 1.3 5 2 9s1.2 6.7 1.5 8c.3 1.3 1 3.3 2 6s2.2 4.5 3.5 5.5c1.3 1 3.3 1.8 6 2.5s6 1 10 1c14 0 21-3.7 21-11 0-2-2-10.3-6-25-20-79.3-65-146.7-135-202 l-3-3h399890zM100 241v40h399900v-40z`,leftbrace:`M6 548l-6-6v-35l6-11c56-104 135.3-181.3 238-232 57.3-28.7 117 -45 179-50h399577v120H403c-43.3 7-81 15-113 26-100.7 33-179.7 91-237 174-2.7 5-6 9-10 13-.7 1-7.3 1-20 1H6z`,leftbraceunder:`M0 6l6-6h17c12.688 0 19.313.3 20 1 4 4 7.313 8.3 10 13 35.313 51.3 80.813 93.8 136.5 127.5 55.688 33.7 117.188 55.8 184.5 66.5.688 0 2 .3 4 1 18.688 2.7 76 4.3 172 5h399450v120H429l-6-1c-124.688-8-235-61.7 -331-161C60.687 138.7 32.312 99.3 7 54L0 41V6z`,leftgroup:`M400000 80 H435C64 80 168.3 229.4 21 260c-5.9 1.2-18 0-18 0-2 0-3-1-3-3v-38C76 61 257 0 435 0h399565z`,leftgroupunder:`M400000 262 H435C64 262 168.3 112.6 21 82c-5.9-1.2-18 0-18 0-2 0-3 1-3 3v38c76 158 257 219 435 219h399565z`,leftharpoon:`M0 267c.7 5.3 3 10 7 14h399993v-40H93c3.3 -3.3 10.2-9.5 20.5-18.5s17.8-15.8 22.5-20.5c50.7-52 88-110.3 112-175 4-11.3 5 -18.3 3-21-1.3-4-7.3-6-18-6-8 0-13 .7-15 2s-4.7 6.7-8 16c-42 98.7-107.3 174.7 -196 228-6.7 4.7-10.7 8-12 10-1.3 2-2 5.7-2 11zm100-26v40h399900v-40z`,leftharpoonplus:`M0 267c.7 5.3 3 10 7 14h399993v-40H93c3.3-3.3 10.2-9.5 20.5-18.5s17.8-15.8 22.5-20.5c50.7-52 88-110.3 112-175 4-11.3 5-18.3 3-21-1.3 -4-7.3-6-18-6-8 0-13 .7-15 2s-4.7 6.7-8 16c-42 98.7-107.3 174.7-196 228-6.7 4.7 -10.7 8-12 10-1.3 2-2 5.7-2 11zm100-26v40h399900v-40zM0 435v40h400000v-40z m0 0v40h400000v-40z`,leftharpoondown:`M7 241c-4 4-6.333 8.667-7 14 0 5.333.667 9 2 11s5.333 5.333 12 10c90.667 54 156 130 196 228 3.333 10.667 6.333 16.333 9 17 2 .667 5 1 9 1h5c10.667 0 16.667-2 18-6 2-2.667 1-9.667-3-21-32-87.333-82.667-157.667 -152-211l-3-3h399907v-40zM93 281 H400000 v-40L7 241z`,leftharpoondownplus:`M7 435c-4 4-6.3 8.7-7 14 0 5.3.7 9 2 11s5.3 5.3 12 10c90.7 54 156 130 196 228 3.3 10.7 6.3 16.3 9 17 2 .7 5 1 9 1h5c10.7 0 16.7 -2 18-6 2-2.7 1-9.7-3-21-32-87.3-82.7-157.7-152-211l-3-3h399907v-40H7zm93 0 v40h399900v-40zM0 241v40h399900v-40zm0 0v40h399900v-40z`,lefthook:`M400000 281 H103s-33-11.2-61-33.5S0 197.3 0 164s14.2-61.2 42.5 -83.5C70.8 58.2 104 47 142 47 c16.7 0 25 6.7 25 20 0 12-8.7 18.7-26 20-40 3.3 -68.7 15.7-86 37-10 12-15 25.3-15 40 0 22.7 9.8 40.7 29.5 54 19.7 13.3 43.5 21 71.5 23h399859zM103 281v-40h399897v40z`,leftlinesegment:`M40 281 V428 H0 V94 H40 V241 H400000 v40z M40 281 V428 H0 V94 H40 V241 H400000 v40z`,leftmapsto:`M40 281 V448H0V74H40V241H400000v40z M40 281 V448H0V74H40V241H400000v40z`,leftToFrom:`M0 147h400000v40H0zm0 214c68 40 115.7 95.7 143 167h22c15.3 0 23 -.3 23-1 0-1.3-5.3-13.7-16-37-18-35.3-41.3-69-70-101l-7-8h399905v-40H95l7-8 c28.7-32 52-65.7 70-101 10.7-23.3 16-35.7 16-37 0-.7-7.7-1-23-1h-22C115.7 265.3 68 321 0 361zm0-174v-40h399900v40zm100 154v40h399900v-40z`,longequal:`M0 50 h400000 v40H0z m0 194h40000v40H0z M0 50 h400000 v40H0z m0 194h40000v40H0z`,midbrace:`M200428 334 c-100.7-8.3-195.3-44-280-108-55.3-42-101.7-93-139-153l-9-14c-2.7 4-5.7 8.7-9 14 -53.3 86.7-123.7 153-211 199-66.7 36-137.3 56.3-212 62H0V214h199568c178.3-11.7 311.7-78.3 403-201 6-8 9.7-12 11-12 .7-.7 6.7-1 18-1s17.3.3 18 1c1.3 0 5 4 11 12 44.7 59.3 101.3 106.3 170 141s145.3 54.3 229 60h199572v120z`,midbraceunder:`M199572 214 c100.7 8.3 195.3 44 280 108 55.3 42 101.7 93 139 153l9 14c2.7-4 5.7-8.7 9-14 53.3-86.7 123.7-153 211-199 66.7-36 137.3-56.3 212-62h199568v120H200432c-178.3 11.7-311.7 78.3-403 201-6 8-9.7 12-11 12-.7.7-6.7 1-18 1s-17.3-.3-18-1c-1.3 0 -5-4-11-12-44.7-59.3-101.3-106.3-170-141s-145.3-54.3-229-60H0V214z`,oiintSize1:`M512.6 71.6c272.6 0 320.3 106.8 320.3 178.2 0 70.8-47.7 177.6 -320.3 177.6S193.1 320.6 193.1 249.8c0-71.4 46.9-178.2 319.5-178.2z m368.1 178.2c0-86.4-60.9-215.4-368.1-215.4-306.4 0-367.3 129-367.3 215.4 0 85.8 60.9 214.8 367.3 214.8 307.2 0 368.1-129 368.1-214.8z`,oiintSize2:`M757.8 100.1c384.7 0 451.1 137.6 451.1 230 0 91.3-66.4 228.8 -451.1 228.8-386.3 0-452.7-137.5-452.7-228.8 0-92.4 66.4-230 452.7-230z m502.4 230c0-111.2-82.4-277.2-502.4-277.2s-504 166-504 277.2 c0 110 84 276 504 276s502.4-166 502.4-276z`,oiiintSize1:`M681.4 71.6c408.9 0 480.5 106.8 480.5 178.2 0 70.8-71.6 177.6 -480.5 177.6S202.1 320.6 202.1 249.8c0-71.4 70.5-178.2 479.3-178.2z m525.8 178.2c0-86.4-86.8-215.4-525.7-215.4-437.9 0-524.7 129-524.7 215.4 0 85.8 86.8 214.8 524.7 214.8 438.9 0 525.7-129 525.7-214.8z`,oiiintSize2:`M1021.2 53c603.6 0 707.8 165.8 707.8 277.2 0 110-104.2 275.8 -707.8 275.8-606 0-710.2-165.8-710.2-275.8C311 218.8 415.2 53 1021.2 53z m770.4 277.1c0-131.2-126.4-327.6-770.5-327.6S248.4 198.9 248.4 330.1 c0 130 128.8 326.4 772.7 326.4s770.5-196.4 770.5-326.4z`,rightarrow:`M0 241v40h399891c-47.3 35.3-84 78-110 128 -16.7 32-27.7 63.7-33 95 0 1.3-.2 2.7-.5 4-.3 1.3-.5 2.3-.5 3 0 7.3 6.7 11 20 11 8 0 13.2-.8 15.5-2.5 2.3-1.7 4.2-5.5 5.5-11.5 2-13.3 5.7-27 11-41 14.7-44.7 39-84.5 73-119.5s73.7-60.2 119-75.5c6-2 9-5.7 9-11s-3-9-9-11c-45.3-15.3-85 -40.5-119-75.5s-58.3-74.8-73-119.5c-4.7-14-8.3-27.3-11-40-1.3-6.7-3.2-10.8-5.5 -12.5-2.3-1.7-7.5-2.5-15.5-2.5-14 0-21 3.7-21 11 0 2 2 10.3 6 25 20.7 83.3 67 151.7 139 205zm0 0v40h399900v-40z`,rightbrace:`M400000 542l -6 6h-17c-12.7 0-19.3-.3-20-1-4-4-7.3-8.3-10-13-35.3-51.3-80.8-93.8-136.5-127.5 s-117.2-55.8-184.5-66.5c-.7 0-2-.3-4-1-18.7-2.7-76-4.3-172-5H0V214h399571l6 1 c124.7 8 235 61.7 331 161 31.3 33.3 59.7 72.7 85 118l7 13v35z`,rightbraceunder:`M399994 0l6 6v35l-6 11c-56 104-135.3 181.3-238 232-57.3 28.7-117 45-179 50H-300V214h399897c43.3-7 81-15 113-26 100.7-33 179.7-91 237 -174 2.7-5 6-9 10-13 .7-1 7.3-1 20-1h17z`,rightgroup:`M0 80h399565c371 0 266.7 149.4 414 180 5.9 1.2 18 0 18 0 2 0 3-1 3-3v-38c-76-158-257-219-435-219H0z`,rightgroupunder:`M0 262h399565c371 0 266.7-149.4 414-180 5.9-1.2 18 0 18 0 2 0 3 1 3 3v38c-76 158-257 219-435 219H0z`,rightharpoon:`M0 241v40h399993c4.7-4.7 7-9.3 7-14 0-9.3 -3.7-15.3-11-18-92.7-56.7-159-133.7-199-231-3.3-9.3-6-14.7-8-16-2-1.3-7-2-15-2 -10.7 0-16.7 2-18 6-2 2.7-1 9.7 3 21 15.3 42 36.7 81.8 64 119.5 27.3 37.7 58 69.2 92 94.5zm0 0v40h399900v-40z`,rightharpoonplus:`M0 241v40h399993c4.7-4.7 7-9.3 7-14 0-9.3-3.7-15.3-11 -18-92.7-56.7-159-133.7-199-231-3.3-9.3-6-14.7-8-16-2-1.3-7-2-15-2-10.7 0-16.7 2-18 6-2 2.7-1 9.7 3 21 15.3 42 36.7 81.8 64 119.5 27.3 37.7 58 69.2 92 94.5z m0 0v40h399900v-40z m100 194v40h399900v-40zm0 0v40h399900v-40z`,rightharpoondown:`M399747 511c0 7.3 6.7 11 20 11 8 0 13-.8 15-2.5s4.7-6.8 8-15.5c40-94 99.3-166.3 178-217 13.3-8 20.3-12.3 21-13 5.3-3.3 8.5-5.8 9.5 -7.5 1-1.7 1.5-5.2 1.5-10.5s-2.3-10.3-7-15H0v40h399908c-34 25.3-64.7 57-92 95 -27.3 38-48.7 77.7-64 119-3.3 8.7-5 14-5 16zM0 241v40h399900v-40z`,rightharpoondownplus:`M399747 705c0 7.3 6.7 11 20 11 8 0 13-.8 15-2.5s4.7-6.8 8-15.5c40-94 99.3-166.3 178-217 13.3-8 20.3-12.3 21-13 5.3-3.3 8.5-5.8 9.5-7.5 1-1.7 1.5-5.2 1.5-10.5s-2.3-10.3-7-15H0v40h399908c-34 25.3 -64.7 57-92 95-27.3 38-48.7 77.7-64 119-3.3 8.7-5 14-5 16zM0 435v40h399900v-40z m0-194v40h400000v-40zm0 0v40h400000v-40z`,righthook:`M399859 241c-764 0 0 0 0 0 40-3.3 68.7-15.7 86-37 10-12 15-25.3 15-40 0-22.7-9.8-40.7-29.5-54-19.7-13.3-43.5-21-71.5-23-17.3-1.3-26-8-26-20 0 -13.3 8.7-20 26-20 38 0 71 11.2 99 33.5 0 0 7 5.6 21 16.7 14 11.2 21 33.5 21 66.8s-14 61.2-42 83.5c-28 22.3-61 33.5-99 33.5L0 241z M0 281v-40h399859v40z`,rightlinesegment:`M399960 241 V94 h40 V428 h-40 V281 H0 v-40z M399960 241 V94 h40 V428 h-40 V281 H0 v-40z`,rightToFrom:`M400000 167c-70.7-42-118-97.7-142-167h-23c-15.3 0-23 .3-23 1 0 1.3 5.3 13.7 16 37 18 35.3 41.3 69 70 101l7 8H0v40h399905l-7 8c-28.7 32 -52 65.7-70 101-10.7 23.3-16 35.7-16 37 0 .7 7.7 1 23 1h23c24-69.3 71.3-125 142 -167z M100 147v40h399900v-40zM0 341v40h399900v-40z`,twoheadleftarrow:`M0 167c68 40 115.7 95.7 143 167h22c15.3 0 23-.3 23-1 0-1.3-5.3-13.7-16-37-18-35.3-41.3-69 -70-101l-7-8h125l9 7c50.7 39.3 85 86 103 140h46c0-4.7-6.3-18.7-19-42-18-35.3 -40-67.3-66-96l-9-9h399716v-40H284l9-9c26-28.7 48-60.7 66-96 12.7-23.333 19 -37.333 19-42h-46c-18 54-52.3 100.7-103 140l-9 7H95l7-8c28.7-32 52-65.7 70-101 10.7-23.333 16-35.7 16-37 0-.7-7.7-1-23-1h-22C115.7 71.3 68 127 0 167z`,twoheadrightarrow:`M400000 167 c-68-40-115.7-95.7-143-167h-22c-15.3 0-23 .3-23 1 0 1.3 5.3 13.7 16 37 18 35.3 41.3 69 70 101l7 8h-125l-9-7c-50.7-39.3-85-86-103-140h-46c0 4.7 6.3 18.7 19 42 18 35.3 40 67.3 66 96l9 9H0v40h399716l-9 9c-26 28.7-48 60.7-66 96-12.7 23.333 -19 37.333-19 42h46c18-54 52.3-100.7 103-140l9-7h125l-7 8c-28.7 32-52 65.7-70 101-10.7 23.333-16 35.7-16 37 0 .7 7.7 1 23 1h22c27.3-71.3 75-127 143-167z`,tilde1:`M200 55.538c-77 0-168 73.953-177 73.953-3 0-7 -2.175-9-5.437L2 97c-1-2-2-4-2-6 0-4 2-7 5-9l20-12C116 12 171 0 207 0c86 0 114 68 191 68 78 0 168-68 177-68 4 0 7 2 9 5l12 19c1 2.175 2 4.35 2 6.525 0 4.35-2 7.613-5 9.788l-19 13.05c-92 63.077-116.937 75.308-183 76.128 -68.267.847-113-73.952-191-73.952z`,tilde2:`M344 55.266c-142 0-300.638 81.316-311.5 86.418 -8.01 3.762-22.5 10.91-23.5 5.562L1 120c-1-2-1-3-1-4 0-5 3-9 8-10l18.4-9C160.9 31.9 283 0 358 0c148 0 188 122 331 122s314-97 326-97c4 0 8 2 10 7l7 21.114 c1 2.14 1 3.21 1 4.28 0 5.347-3 9.626-7 10.696l-22.3 12.622C852.6 158.372 751 181.476 676 181.476c-149 0-189-126.21-332-126.21z`,tilde3:`M786 59C457 59 32 175.242 13 175.242c-6 0-10-3.457 -11-10.37L.15 138c-1-7 3-12 10-13l19.2-6.4C378.4 40.7 634.3 0 804.3 0c337 0 411.8 157 746.8 157 328 0 754-112 773-112 5 0 10 3 11 9l1 14.075c1 8.066-.697 16.595-6.697 17.492l-21.052 7.31c-367.9 98.146-609.15 122.696-778.15 122.696 -338 0-409-156.573-744-156.573z`,tilde4:`M786 58C457 58 32 177.487 13 177.487c-6 0-10-3.345 -11-10.035L.15 143c-1-7 3-12 10-13l22-6.7C381.2 35 637.15 0 807.15 0c337 0 409 177 744 177 328 0 754-127 773-127 5 0 10 3 11 9l1 14.794c1 7.805-3 13.38-9 14.495l-20.7 5.574c-366.85 99.79-607.3 139.372-776.3 139.372-338 0-409 -175.236-744-175.236z`,vec:`M377 20c0-5.333 1.833-10 5.5-14S391 0 397 0c4.667 0 8.667 1.667 12 5 3.333 2.667 6.667 9 10 19 6.667 24.667 20.333 43.667 41 57 7.333 4.667 11 10.667 11 18 0 6-1 10-3 12s-6.667 5-14 9c-28.667 14.667-53.667 35.667-75 63 -1.333 1.333-3.167 3.5-5.5 6.5s-4 4.833-5 5.5c-1 .667-2.5 1.333-4.5 2s-4.333 1 -7 1c-4.667 0-9.167-1.833-13.5-5.5S337 184 337 178c0-12.667 15.667-32.333 47-59 H213l-171-1c-8.667-6-13-12.333-13-19 0-4.667 4.333-11.333 13-20h359 c-16-25.333-24-45-24-59z`,widehat1:`M529 0h5l519 115c5 1 9 5 9 10 0 1-1 2-1 3l-4 22 c-1 5-5 9-11 9h-2L532 67 19 159h-2c-5 0-9-4-11-9l-5-22c-1-6 2-12 8-13z`,widehat2:`M1181 0h2l1171 176c6 0 10 5 10 11l-2 23c-1 6-5 10 -11 10h-1L1182 67 15 220h-1c-6 0-10-4-11-10l-2-23c-1-6 4-11 10-11z`,widehat3:`M1181 0h2l1171 236c6 0 10 5 10 11l-2 23c-1 6-5 10 -11 10h-1L1182 67 15 280h-1c-6 0-10-4-11-10l-2-23c-1-6 4-11 10-11z`,widehat4:`M1181 0h2l1171 296c6 0 10 5 10 11l-2 23c-1 6-5 10 -11 10h-1L1182 67 15 340h-1c-6 0-10-4-11-10l-2-23c-1-6 4-11 10-11z`,widecheck1:`M529,159h5l519,-115c5,-1,9,-5,9,-10c0,-1,-1,-2,-1,-3l-4,-22c-1, -5,-5,-9,-11,-9h-2l-512,92l-513,-92h-2c-5,0,-9,4,-11,9l-5,22c-1,6,2,12,8,13z`,widecheck2:`M1181,220h2l1171,-176c6,0,10,-5,10,-11l-2,-23c-1,-6,-5,-10, -11,-10h-1l-1168,153l-1167,-153h-1c-6,0,-10,4,-11,10l-2,23c-1,6,4,11,10,11z`,widecheck3:`M1181,280h2l1171,-236c6,0,10,-5,10,-11l-2,-23c-1,-6,-5,-10, -11,-10h-1l-1168,213l-1167,-213h-1c-6,0,-10,4,-11,10l-2,23c-1,6,4,11,10,11z`,widecheck4:`M1181,340h2l1171,-296c6,0,10,-5,10,-11l-2,-23c-1,-6,-5,-10, -11,-10h-1l-1168,273l-1167,-273h-1c-6,0,-10,4,-11,10l-2,23c-1,6,4,11,10,11z`,baraboveleftarrow:`M400000 620h-399890l3 -3c68.7 -52.7 113.7 -120 135 -202 c4 -14.7 6 -23 6 -25c0 -7.3 -7 -11 -21 -11c-8 0 -13.2 0.8 -15.5 2.5 c-2.3 1.7 -4.2 5.8 -5.5 12.5c-1.3 4.7 -2.7 10.3 -4 17c-12 48.7 -34.8 92 -68.5 130 s-74.2 66.3 -121.5 85c-10 4 -16 7.7 -18 11c0 8.7 6 14.3 18 17c47.3 18.7 87.8 47 121.5 85s56.5 81.3 68.5 130c0.7 2 1.3 5 2 9s1.2 6.7 1.5 8c0.3 1.3 1 3.3 2 6 s2.2 4.5 3.5 5.5c1.3 1 3.3 1.8 6 2.5s6 1 10 1c14 0 21 -3.7 21 -11 c0 -2 -2 -10.3 -6 -25c-20 -79.3 -65 -146.7 -135 -202l-3 -3h399890z M100 620v40h399900v-40z M0 241v40h399900v-40zM0 241v40h399900v-40z`,rightarrowabovebar:`M0 241v40h399891c-47.3 35.3-84 78-110 128-16.7 32 -27.7 63.7-33 95 0 1.3-.2 2.7-.5 4-.3 1.3-.5 2.3-.5 3 0 7.3 6.7 11 20 11 8 0 13.2-.8 15.5-2.5 2.3-1.7 4.2-5.5 5.5-11.5 2-13.3 5.7-27 11-41 14.7-44.7 39 -84.5 73-119.5s73.7-60.2 119-75.5c6-2 9-5.7 9-11s-3-9-9-11c-45.3-15.3-85-40.5 -119-75.5s-58.3-74.8-73-119.5c-4.7-14-8.3-27.3-11-40-1.3-6.7-3.2-10.8-5.5 -12.5-2.3-1.7-7.5-2.5-15.5-2.5-14 0-21 3.7-21 11 0 2 2 10.3 6 25 20.7 83.3 67 151.7 139 205zm96 379h399894v40H0zm0 0h399904v40H0z`,baraboveshortleftharpoon:`M507,435c-4,4,-6.3,8.7,-7,14c0,5.3,0.7,9,2,11 c1.3,2,5.3,5.3,12,10c90.7,54,156,130,196,228c3.3,10.7,6.3,16.3,9,17 c2,0.7,5,1,9,1c0,0,5,0,5,0c10.7,0,16.7,-2,18,-6c2,-2.7,1,-9.7,-3,-21 c-32,-87.3,-82.7,-157.7,-152,-211c0,0,-3,-3,-3,-3l399351,0l0,-40 c-398570,0,-399437,0,-399437,0z M593 435 v40 H399500 v-40z M0 281 v-40 H399908 v40z M0 281 v-40 H399908 v40z`,rightharpoonaboveshortbar:`M0,241 l0,40c399126,0,399993,0,399993,0 c4.7,-4.7,7,-9.3,7,-14c0,-9.3,-3.7,-15.3,-11,-18c-92.7,-56.7,-159,-133.7,-199, -231c-3.3,-9.3,-6,-14.7,-8,-16c-2,-1.3,-7,-2,-15,-2c-10.7,0,-16.7,2,-18,6 c-2,2.7,-1,9.7,3,21c15.3,42,36.7,81.8,64,119.5c27.3,37.7,58,69.2,92,94.5z M0 241 v40 H399908 v-40z M0 475 v-40 H399500 v40z M0 475 v-40 H399500 v40z`,shortbaraboveleftharpoon:`M7,435c-4,4,-6.3,8.7,-7,14c0,5.3,0.7,9,2,11 c1.3,2,5.3,5.3,12,10c90.7,54,156,130,196,228c3.3,10.7,6.3,16.3,9,17c2,0.7,5,1,9, 1c0,0,5,0,5,0c10.7,0,16.7,-2,18,-6c2,-2.7,1,-9.7,-3,-21c-32,-87.3,-82.7,-157.7, -152,-211c0,0,-3,-3,-3,-3l399907,0l0,-40c-399126,0,-399993,0,-399993,0z M93 435 v40 H400000 v-40z M500 241 v40 H400000 v-40z M500 241 v40 H400000 v-40z`,shortrightharpoonabovebar:`M53,241l0,40c398570,0,399437,0,399437,0 c4.7,-4.7,7,-9.3,7,-14c0,-9.3,-3.7,-15.3,-11,-18c-92.7,-56.7,-159,-133.7,-199, -231c-3.3,-9.3,-6,-14.7,-8,-16c-2,-1.3,-7,-2,-15,-2c-10.7,0,-16.7,2,-18,6 c-2,2.7,-1,9.7,3,21c15.3,42,36.7,81.8,64,119.5c27.3,37.7,58,69.2,92,94.5z M500 241 v40 H399408 v-40z M500 435 v40 H400000 v-40z`},ot=function(i,o){switch(i){case"lbrack":return"M403 1759 V84 H666 V0 H319 V1759 v"+o+` v1759 h347 v-84 H403z M403 1759 V0 H319 V1759 v`+o+" v1759 h84z";case"rbrack":return"M347 1759 V0 H0 V84 H263 V1759 v"+o+` v1759 H0 v84 H347z M347 1759 V0 H263 V1759 v`+o+" v1759 h84z";case"vert":return"M145 15 v585 v"+o+` v585 c2.667,10,9.667,15,21,15 c10,0,16.667,-5,20,-15 v-585 v`+-o+` v-585 c-2.667,-10,-9.667,-15,-21,-15 c-10,0,-16.667,5,-20,15z M188 15 H145 v585 v`+o+" v585 h43z";case"doublevert":return"M145 15 v585 v"+o+` v585 c2.667,10,9.667,15,21,15 c10,0,16.667,-5,20,-15 v-585 v`+-o+` v-585 c-2.667,-10,-9.667,-15,-21,-15 c-10,0,-16.667,5,-20,15z M188 15 H145 v585 v`+o+` v585 h43z M367 15 v585 v`+o+` v585 c2.667,10,9.667,15,21,15 c10,0,16.667,-5,20,-15 v-585 v`+-o+` v-585 c-2.667,-10,-9.667,-15,-21,-15 c-10,0,-16.667,5,-20,15z M410 15 H367 v585 v`+o+" v585 h43z";case"lfloor":return"M319 602 V0 H403 V602 v"+o+` v1715 h263 v84 H319z MM319 602 V0 H403 V602 v`+o+" v1715 H319z";case"rfloor":return"M319 602 V0 H403 V602 v"+o+` v1799 H0 v-84 H319z MM319 602 V0 H403 V602 v`+o+" v1715 H319z";case"lceil":return"M403 1759 V84 H666 V0 H319 V1759 v"+o+` v602 h84z M403 1759 V0 H319 V1759 v`+o+" v602 h84z";case"rceil":return"M347 1759 V0 H0 V84 H263 V1759 v"+o+` v602 h84z M347 1759 V0 h-84 V1759 v`+o+" v602 h84z";case"lparen":return`M863,9c0,-2,-2,-5,-6,-9c0,0,-17,0,-17,0c-12.7,0,-19.3,0.3,-20,1 c-5.3,5.3,-10.3,11,-15,17c-242.7,294.7,-395.3,682,-458,1162c-21.3,163.3,-33.3,349, -36,557 l0,`+(o+84)+`c0.2,6,0,26,0,60c2,159.3,10,310.7,24,454c53.3,528,210, 949.7,470,1265c4.7,6,9.7,11.7,15,17c0.7,0.7,7,1,19,1c0,0,18,0,18,0c4,-4,6,-7,6,-9 c0,-2.7,-3.3,-8.7,-10,-18c-135.3,-192.7,-235.5,-414.3,-300.5,-665c-65,-250.7,-102.5, -544.7,-112.5,-882c-2,-104,-3,-167,-3,-189 l0,-`+(o+92)+`c0,-162.7,5.7,-314,17,-454c20.7,-272,63.7,-513,129,-723c65.3, -210,155.3,-396.3,270,-559c6.7,-9.3,10,-15.3,10,-18z`;case"rparen":return`M76,0c-16.7,0,-25,3,-25,9c0,2,2,6.3,6,13c21.3,28.7,42.3,60.3, 63,95c96.7,156.7,172.8,332.5,228.5,527.5c55.7,195,92.8,416.5,111.5,664.5 c11.3,139.3,17,290.7,17,454c0,28,1.7,43,3.3,45l0,`+(o+9)+` c-3,4,-3.3,16.7,-3.3,38c0,162,-5.7,313.7,-17,455c-18.7,248,-55.8,469.3,-111.5,664 c-55.7,194.7,-131.8,370.3,-228.5,527c-20.7,34.7,-41.7,66.3,-63,95c-2,3.3,-4,7,-6,11 c0,7.3,5.7,11,17,11c0,0,11,0,11,0c9.3,0,14.3,-0.3,15,-1c5.3,-5.3,10.3,-11,15,-17 c242.7,-294.7,395.3,-681.7,458,-1161c21.3,-164.7,33.3,-350.7,36,-558 l0,-`+(o+144)+`c-2,-159.3,-10,-310.7,-24,-454c-53.3,-528,-210,-949.7, -470,-1265c-4.7,-6,-9.7,-11.7,-15,-17c-0.7,-0.7,-6.7,-1,-18,-1z`;default:throw new Error("Unknown stretchy delimiter.")}},me=function(){function N(o){this.children=void 0,this.classes=void 0,this.height=void 0,this.depth=void 0,this.maxFontSize=void 0,this.style=void 0,this.children=o,this.classes=[],this.height=0,this.depth=0,this.maxFontSize=0,this.style={}}var i=N.prototype;return i.hasClass=function(s){return E.contains(this.classes,s)},i.toNode=function(){for(var s=document.createDocumentFragment(),_=0;_=5?i=0:N>=3?i=1:i=2,!Fe[i]){var o=Fe[i]={cssEmPerMu:Ne.quad[i]/18};for(var s in Ne)Ne.hasOwnProperty(s)&&(o[s]=Ne[s][i])}return Fe[i]}var mt=[[1,1,1],[2,1,1],[3,1,1],[4,2,1],[5,2,1],[6,3,1],[7,4,2],[8,6,3],[9,7,6],[10,8,7],[11,10,9]],ye=[.5,.6,.7,.8,.9,1,1.2,1.44,1.728,2.074,2.488],Ce=function(i,o){return o.size<2?i:mt[i-1][o.size-1]},ze=function(){function N(o){this.style=void 0,this.color=void 0,this.size=void 0,this.textSize=void 0,this.phantom=void 0,this.font=void 0,this.fontFamily=void 0,this.fontWeight=void 0,this.fontShape=void 0,this.sizeMultiplier=void 0,this.maxSize=void 0,this.minRuleThickness=void 0,this._fontMetrics=void 0,this.style=o.style,this.color=o.color,this.size=o.size||N.BASESIZE,this.textSize=o.textSize||this.size,this.phantom=!!o.phantom,this.font=o.font||"",this.fontFamily=o.fontFamily||"",this.fontWeight=o.fontWeight||"",this.fontShape=o.fontShape||"",this.sizeMultiplier=ye[this.size-1],this.maxSize=o.maxSize,this.minRuleThickness=o.minRuleThickness,this._fontMetrics=void 0}var i=N.prototype;return i.extend=function(s){var _={style:this.style,size:this.size,textSize:this.textSize,color:this.color,phantom:this.phantom,font:this.font,fontFamily:this.fontFamily,fontWeight:this.fontWeight,fontShape:this.fontShape,maxSize:this.maxSize,minRuleThickness:this.minRuleThickness};for(var T in s)s.hasOwnProperty(T)&&(_[T]=s[T]);return new N(_)},i.havingStyle=function(s){return this.style===s?this:this.extend({style:s,size:Ce(this.textSize,s)})},i.havingCrampedStyle=function(){return this.havingStyle(this.style.cramp())},i.havingSize=function(s){return this.size===s&&this.textSize===s?this:this.extend({style:this.style.text(),size:s,textSize:s,sizeMultiplier:ye[s-1]})},i.havingBaseStyle=function(s){s=s||this.style.text();var _=Ce(N.BASESIZE,s);return this.size===_&&this.textSize===N.BASESIZE&&this.style===s?this:this.extend({style:s,size:_})},i.havingBaseSizing=function(){var s;switch(this.style.id){case 4:case 5:s=3;break;case 6:case 7:s=1;break;default:s=6}return this.extend({style:this.style.text(),size:s})},i.withColor=function(s){return this.extend({color:s})},i.withPhantom=function(){return this.extend({phantom:!0})},i.withFont=function(s){return this.extend({font:s})},i.withTextFontFamily=function(s){return this.extend({fontFamily:s,font:""})},i.withTextFontWeight=function(s){return this.extend({fontWeight:s,font:""})},i.withTextFontShape=function(s){return this.extend({fontShape:s,font:""})},i.sizingClasses=function(s){return s.size!==this.size?["sizing","reset-size"+s.size,"size"+this.size]:[]},i.baseSizingClasses=function(){return this.size!==N.BASESIZE?["sizing","reset-size"+this.size,"size"+N.BASESIZE]:[]},i.fontMetrics=function(){return this._fontMetrics||(this._fontMetrics=$e(this.size)),this._fontMetrics},i.getColor=function(){return this.phantom?"transparent":this.color},N}();ze.BASESIZE=6;var ke=ze,Xe={pt:1,mm:7227/2540,cm:7227/254,in:72.27,bp:803/800,pc:12,dd:1238/1157,cc:14856/1157,nd:685/642,nc:1370/107,sp:1/65536,px:803/800},Rt={ex:!0,em:!0,mu:!0},Nn=function(i){return typeof i!="string"&&(i=i.unit),i in Xe||i in Rt||i==="ex"},nt=function(i,o){var s;if(i.unit in Xe)s=Xe[i.unit]/o.fontMetrics().ptPerEm/o.sizeMultiplier;else if(i.unit==="mu")s=o.fontMetrics().cssEmPerMu;else{var _;if(o.style.isTight()?_=o.havingStyle(o.style.text()):_=o,i.unit==="ex")s=_.fontMetrics().xHeight;else if(i.unit==="em")s=_.fontMetrics().quad;else throw new l("Invalid unit: '"+i.unit+"'");_!==o&&(s*=_.sizeMultiplier/o.sizeMultiplier)}return Math.min(i.number*s,o.maxSize)},Oe=function(i){return+i.toFixed(4)+"em"},Ut=function(i){return i.filter(function(o){return o}).join(" ")},Or=function(i,o,s){if(this.classes=i||[],this.attributes={},this.height=0,this.depth=0,this.maxFontSize=0,this.style=s||{},o){o.style.isTight()&&this.classes.push("mtight");var _=o.getColor();_&&(this.style.color=_)}},Nr=function(i){var o=document.createElement(i);o.className=Ut(this.classes);for(var s in this.style)this.style.hasOwnProperty(s)&&(o.style[s]=this.style[s]);for(var _ in this.attributes)this.attributes.hasOwnProperty(_)&&o.setAttribute(_,this.attributes[_]);for(var T=0;T",o},hn=function(){function N(o,s,_,T){this.children=void 0,this.attributes=void 0,this.classes=void 0,this.height=void 0,this.depth=void 0,this.width=void 0,this.maxFontSize=void 0,this.style=void 0,Or.call(this,o,_,T),this.children=s||[]}var i=N.prototype;return i.setAttribute=function(s,_){this.attributes[s]=_},i.hasClass=function(s){return E.contains(this.classes,s)},i.toNode=function(){return Nr.call(this,"span")},i.toMarkup=function(){return Ar.call(this,"span")},N}(),tr=function(){function N(o,s,_,T){this.children=void 0,this.attributes=void 0,this.classes=void 0,this.height=void 0,this.depth=void 0,this.maxFontSize=void 0,this.style=void 0,Or.call(this,s,T),this.children=_||[],this.setAttribute("href",o)}var i=N.prototype;return i.setAttribute=function(s,_){this.attributes[s]=_},i.hasClass=function(s){return E.contains(this.classes,s)},i.toNode=function(){return Nr.call(this,"a")},i.toMarkup=function(){return Ar.call(this,"a")},N}(),Di=function(){function N(o,s,_){this.src=void 0,this.alt=void 0,this.classes=void 0,this.height=void 0,this.depth=void 0,this.maxFontSize=void 0,this.style=void 0,this.alt=s,this.src=o,this.classes=["mord"],this.style=_}var i=N.prototype;return i.hasClass=function(s){return E.contains(this.classes,s)},i.toNode=function(){var s=document.createElement("img");s.src=this.src,s.alt=this.alt,s.className="mord";for(var _ in this.style)this.style.hasOwnProperty(_)&&(s.style[_]=this.style[_]);return s},i.toMarkup=function(){var s=""+this.alt+"0&&(_=document.createElement("span"),_.style.marginRight=Oe(this.italic)),this.classes.length>0&&(_=_||document.createElement("span"),_.className=Ut(this.classes));for(var T in this.style)this.style.hasOwnProperty(T)&&(_=_||document.createElement("span"),_.style[T]=this.style[T]);return _?(_.appendChild(s),_):s},i.toMarkup=function(){var s=!1,_="0&&(T+="margin-right:"+this.italic+"em;");for(var I in this.style)this.style.hasOwnProperty(I)&&(T+=E.hyphenate(I)+":"+this.style[I]+";");T&&(s=!0,_+=' style="'+E.escape(T)+'"');var B=E.escape(this.text);return s?(_+=">",_+=B,_+="",_):B},N}(),Lt=function(){function N(o,s){this.children=void 0,this.attributes=void 0,this.children=o||[],this.attributes=s||{}}var i=N.prototype;return i.toNode=function(){var s="http://www.w3.org/2000/svg",_=document.createElementNS(s,"svg");for(var T in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,T)&&_.setAttribute(T,this.attributes[T]);for(var I=0;I":""},N}(),nr=function(){function N(o){this.attributes=void 0,this.attributes=o||{}}var i=N.prototype;return i.toNode=function(){var s="http://www.w3.org/2000/svg",_=document.createElementNS(s,"line");for(var T in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,T)&&_.setAttribute(T,this.attributes[T]);return _},i.toMarkup=function(){var s=" but got "+String(N)+".")}var Mi={bin:1,close:1,inner:1,open:1,punct:1,rel:1},Li={"accent-token":1,mathord:1,"op-token":1,spacing:1,textord:1},An={math:{},text:{}},st=An;function R(N,i,o,s,_,T){An[N][_]={font:i,group:o,replace:s},T&&s&&(An[N][s]=An[N][_])}var D="math",be="text",q="main",ee="ams",it="accent-token",xe="bin",gt="close",an="inner",Pe="mathord",dt="op-token",bt="open",In="punct",te="rel",kt="spacing",se="textord";R(D,q,te,"\u2261","\\equiv",!0),R(D,q,te,"\u227A","\\prec",!0),R(D,q,te,"\u227B","\\succ",!0),R(D,q,te,"\u223C","\\sim",!0),R(D,q,te,"\u22A5","\\perp"),R(D,q,te,"\u2AAF","\\preceq",!0),R(D,q,te,"\u2AB0","\\succeq",!0),R(D,q,te,"\u2243","\\simeq",!0),R(D,q,te,"\u2223","\\mid",!0),R(D,q,te,"\u226A","\\ll",!0),R(D,q,te,"\u226B","\\gg",!0),R(D,q,te,"\u224D","\\asymp",!0),R(D,q,te,"\u2225","\\parallel"),R(D,q,te,"\u22C8","\\bowtie",!0),R(D,q,te,"\u2323","\\smile",!0),R(D,q,te,"\u2291","\\sqsubseteq",!0),R(D,q,te,"\u2292","\\sqsupseteq",!0),R(D,q,te,"\u2250","\\doteq",!0),R(D,q,te,"\u2322","\\frown",!0),R(D,q,te,"\u220B","\\ni",!0),R(D,q,te,"\u221D","\\propto",!0),R(D,q,te,"\u22A2","\\vdash",!0),R(D,q,te,"\u22A3","\\dashv",!0),R(D,q,te,"\u220B","\\owns"),R(D,q,In,".","\\ldotp"),R(D,q,In,"\u22C5","\\cdotp"),R(D,q,se,"#","\\#"),R(be,q,se,"#","\\#"),R(D,q,se,"&","\\&"),R(be,q,se,"&","\\&"),R(D,q,se,"\u2135","\\aleph",!0),R(D,q,se,"\u2200","\\forall",!0),R(D,q,se,"\u210F","\\hbar",!0),R(D,q,se,"\u2203","\\exists",!0),R(D,q,se,"\u2207","\\nabla",!0),R(D,q,se,"\u266D","\\flat",!0),R(D,q,se,"\u2113","\\ell",!0),R(D,q,se,"\u266E","\\natural",!0),R(D,q,se,"\u2663","\\clubsuit",!0),R(D,q,se,"\u2118","\\wp",!0),R(D,q,se,"\u266F","\\sharp",!0),R(D,q,se,"\u2662","\\diamondsuit",!0),R(D,q,se,"\u211C","\\Re",!0),R(D,q,se,"\u2661","\\heartsuit",!0),R(D,q,se,"\u2111","\\Im",!0),R(D,q,se,"\u2660","\\spadesuit",!0),R(D,q,se,"\xA7","\\S",!0),R(be,q,se,"\xA7","\\S"),R(D,q,se,"\xB6","\\P",!0),R(be,q,se,"\xB6","\\P"),R(D,q,se,"\u2020","\\dag"),R(be,q,se,"\u2020","\\dag"),R(be,q,se,"\u2020","\\textdagger"),R(D,q,se,"\u2021","\\ddag"),R(be,q,se,"\u2021","\\ddag"),R(be,q,se,"\u2021","\\textdaggerdbl"),R(D,q,gt,"\u23B1","\\rmoustache",!0),R(D,q,bt,"\u23B0","\\lmoustache",!0),R(D,q,gt,"\u27EF","\\rgroup",!0),R(D,q,bt,"\u27EE","\\lgroup",!0),R(D,q,xe,"\u2213","\\mp",!0),R(D,q,xe,"\u2296","\\ominus",!0),R(D,q,xe,"\u228E","\\uplus",!0),R(D,q,xe,"\u2293","\\sqcap",!0),R(D,q,xe,"\u2217","\\ast"),R(D,q,xe,"\u2294","\\sqcup",!0),R(D,q,xe,"\u25EF","\\bigcirc",!0),R(D,q,xe,"\u2219","\\bullet",!0),R(D,q,xe,"\u2021","\\ddagger"),R(D,q,xe,"\u2240","\\wr",!0),R(D,q,xe,"\u2A3F","\\amalg"),R(D,q,xe,"&","\\And"),R(D,q,te,"\u27F5","\\longleftarrow",!0),R(D,q,te,"\u21D0","\\Leftarrow",!0),R(D,q,te,"\u27F8","\\Longleftarrow",!0),R(D,q,te,"\u27F6","\\longrightarrow",!0),R(D,q,te,"\u21D2","\\Rightarrow",!0),R(D,q,te,"\u27F9","\\Longrightarrow",!0),R(D,q,te,"\u2194","\\leftrightarrow",!0),R(D,q,te,"\u27F7","\\longleftrightarrow",!0),R(D,q,te,"\u21D4","\\Leftrightarrow",!0),R(D,q,te,"\u27FA","\\Longleftrightarrow",!0),R(D,q,te,"\u21A6","\\mapsto",!0),R(D,q,te,"\u27FC","\\longmapsto",!0),R(D,q,te,"\u2197","\\nearrow",!0),R(D,q,te,"\u21A9","\\hookleftarrow",!0),R(D,q,te,"\u21AA","\\hookrightarrow",!0),R(D,q,te,"\u2198","\\searrow",!0),R(D,q,te,"\u21BC","\\leftharpoonup",!0),R(D,q,te,"\u21C0","\\rightharpoonup",!0),R(D,q,te,"\u2199","\\swarrow",!0),R(D,q,te,"\u21BD","\\leftharpoondown",!0),R(D,q,te,"\u21C1","\\rightharpoondown",!0),R(D,q,te,"\u2196","\\nwarrow",!0),R(D,q,te,"\u21CC","\\rightleftharpoons",!0),R(D,ee,te,"\u226E","\\nless",!0),R(D,ee,te,"\uE010","\\@nleqslant"),R(D,ee,te,"\uE011","\\@nleqq"),R(D,ee,te,"\u2A87","\\lneq",!0),R(D,ee,te,"\u2268","\\lneqq",!0),R(D,ee,te,"\uE00C","\\@lvertneqq"),R(D,ee,te,"\u22E6","\\lnsim",!0),R(D,ee,te,"\u2A89","\\lnapprox",!0),R(D,ee,te,"\u2280","\\nprec",!0),R(D,ee,te,"\u22E0","\\npreceq",!0),R(D,ee,te,"\u22E8","\\precnsim",!0),R(D,ee,te,"\u2AB9","\\precnapprox",!0),R(D,ee,te,"\u2241","\\nsim",!0),R(D,ee,te,"\uE006","\\@nshortmid"),R(D,ee,te,"\u2224","\\nmid",!0),R(D,ee,te,"\u22AC","\\nvdash",!0),R(D,ee,te,"\u22AD","\\nvDash",!0),R(D,ee,te,"\u22EA","\\ntriangleleft"),R(D,ee,te,"\u22EC","\\ntrianglelefteq",!0),R(D,ee,te,"\u228A","\\subsetneq",!0),R(D,ee,te,"\uE01A","\\@varsubsetneq"),R(D,ee,te,"\u2ACB","\\subsetneqq",!0),R(D,ee,te,"\uE017","\\@varsubsetneqq"),R(D,ee,te,"\u226F","\\ngtr",!0),R(D,ee,te,"\uE00F","\\@ngeqslant"),R(D,ee,te,"\uE00E","\\@ngeqq"),R(D,ee,te,"\u2A88","\\gneq",!0),R(D,ee,te,"\u2269","\\gneqq",!0),R(D,ee,te,"\uE00D","\\@gvertneqq"),R(D,ee,te,"\u22E7","\\gnsim",!0),R(D,ee,te,"\u2A8A","\\gnapprox",!0),R(D,ee,te,"\u2281","\\nsucc",!0),R(D,ee,te,"\u22E1","\\nsucceq",!0),R(D,ee,te,"\u22E9","\\succnsim",!0),R(D,ee,te,"\u2ABA","\\succnapprox",!0),R(D,ee,te,"\u2246","\\ncong",!0),R(D,ee,te,"\uE007","\\@nshortparallel"),R(D,ee,te,"\u2226","\\nparallel",!0),R(D,ee,te,"\u22AF","\\nVDash",!0),R(D,ee,te,"\u22EB","\\ntriangleright"),R(D,ee,te,"\u22ED","\\ntrianglerighteq",!0),R(D,ee,te,"\uE018","\\@nsupseteqq"),R(D,ee,te,"\u228B","\\supsetneq",!0),R(D,ee,te,"\uE01B","\\@varsupsetneq"),R(D,ee,te,"\u2ACC","\\supsetneqq",!0),R(D,ee,te,"\uE019","\\@varsupsetneqq"),R(D,ee,te,"\u22AE","\\nVdash",!0),R(D,ee,te,"\u2AB5","\\precneqq",!0),R(D,ee,te,"\u2AB6","\\succneqq",!0),R(D,ee,te,"\uE016","\\@nsubseteqq"),R(D,ee,xe,"\u22B4","\\unlhd"),R(D,ee,xe,"\u22B5","\\unrhd"),R(D,ee,te,"\u219A","\\nleftarrow",!0),R(D,ee,te,"\u219B","\\nrightarrow",!0),R(D,ee,te,"\u21CD","\\nLeftarrow",!0),R(D,ee,te,"\u21CF","\\nRightarrow",!0),R(D,ee,te,"\u21AE","\\nleftrightarrow",!0),R(D,ee,te,"\u21CE","\\nLeftrightarrow",!0),R(D,ee,te,"\u25B3","\\vartriangle"),R(D,ee,se,"\u210F","\\hslash"),R(D,ee,se,"\u25BD","\\triangledown"),R(D,ee,se,"\u25CA","\\lozenge"),R(D,ee,se,"\u24C8","\\circledS"),R(D,ee,se,"\xAE","\\circledR"),R(be,ee,se,"\xAE","\\circledR"),R(D,ee,se,"\u2221","\\measuredangle",!0),R(D,ee,se,"\u2204","\\nexists"),R(D,ee,se,"\u2127","\\mho"),R(D,ee,se,"\u2132","\\Finv",!0),R(D,ee,se,"\u2141","\\Game",!0),R(D,ee,se,"\u2035","\\backprime"),R(D,ee,se,"\u25B2","\\blacktriangle"),R(D,ee,se,"\u25BC","\\blacktriangledown"),R(D,ee,se,"\u25A0","\\blacksquare"),R(D,ee,se,"\u29EB","\\blacklozenge"),R(D,ee,se,"\u2605","\\bigstar"),R(D,ee,se,"\u2222","\\sphericalangle",!0),R(D,ee,se,"\u2201","\\complement",!0),R(D,ee,se,"\xF0","\\eth",!0),R(be,q,se,"\xF0","\xF0"),R(D,ee,se,"\u2571","\\diagup"),R(D,ee,se,"\u2572","\\diagdown"),R(D,ee,se,"\u25A1","\\square"),R(D,ee,se,"\u25A1","\\Box"),R(D,ee,se,"\u25CA","\\Diamond"),R(D,ee,se,"\xA5","\\yen",!0),R(be,ee,se,"\xA5","\\yen",!0),R(D,ee,se,"\u2713","\\checkmark",!0),R(be,ee,se,"\u2713","\\checkmark"),R(D,ee,se,"\u2136","\\beth",!0),R(D,ee,se,"\u2138","\\daleth",!0),R(D,ee,se,"\u2137","\\gimel",!0),R(D,ee,se,"\u03DD","\\digamma",!0),R(D,ee,se,"\u03F0","\\varkappa"),R(D,ee,bt,"\u250C","\\@ulcorner",!0),R(D,ee,gt,"\u2510","\\@urcorner",!0),R(D,ee,bt,"\u2514","\\@llcorner",!0),R(D,ee,gt,"\u2518","\\@lrcorner",!0),R(D,ee,te,"\u2266","\\leqq",!0),R(D,ee,te,"\u2A7D","\\leqslant",!0),R(D,ee,te,"\u2A95","\\eqslantless",!0),R(D,ee,te,"\u2272","\\lesssim",!0),R(D,ee,te,"\u2A85","\\lessapprox",!0),R(D,ee,te,"\u224A","\\approxeq",!0),R(D,ee,xe,"\u22D6","\\lessdot"),R(D,ee,te,"\u22D8","\\lll",!0),R(D,ee,te,"\u2276","\\lessgtr",!0),R(D,ee,te,"\u22DA","\\lesseqgtr",!0),R(D,ee,te,"\u2A8B","\\lesseqqgtr",!0),R(D,ee,te,"\u2251","\\doteqdot"),R(D,ee,te,"\u2253","\\risingdotseq",!0),R(D,ee,te,"\u2252","\\fallingdotseq",!0),R(D,ee,te,"\u223D","\\backsim",!0),R(D,ee,te,"\u22CD","\\backsimeq",!0),R(D,ee,te,"\u2AC5","\\subseteqq",!0),R(D,ee,te,"\u22D0","\\Subset",!0),R(D,ee,te,"\u228F","\\sqsubset",!0),R(D,ee,te,"\u227C","\\preccurlyeq",!0),R(D,ee,te,"\u22DE","\\curlyeqprec",!0),R(D,ee,te,"\u227E","\\precsim",!0),R(D,ee,te,"\u2AB7","\\precapprox",!0),R(D,ee,te,"\u22B2","\\vartriangleleft"),R(D,ee,te,"\u22B4","\\trianglelefteq"),R(D,ee,te,"\u22A8","\\vDash",!0),R(D,ee,te,"\u22AA","\\Vvdash",!0),R(D,ee,te,"\u2323","\\smallsmile"),R(D,ee,te,"\u2322","\\smallfrown"),R(D,ee,te,"\u224F","\\bumpeq",!0),R(D,ee,te,"\u224E","\\Bumpeq",!0),R(D,ee,te,"\u2267","\\geqq",!0),R(D,ee,te,"\u2A7E","\\geqslant",!0),R(D,ee,te,"\u2A96","\\eqslantgtr",!0),R(D,ee,te,"\u2273","\\gtrsim",!0),R(D,ee,te,"\u2A86","\\gtrapprox",!0),R(D,ee,xe,"\u22D7","\\gtrdot"),R(D,ee,te,"\u22D9","\\ggg",!0),R(D,ee,te,"\u2277","\\gtrless",!0),R(D,ee,te,"\u22DB","\\gtreqless",!0),R(D,ee,te,"\u2A8C","\\gtreqqless",!0),R(D,ee,te,"\u2256","\\eqcirc",!0),R(D,ee,te,"\u2257","\\circeq",!0),R(D,ee,te,"\u225C","\\triangleq",!0),R(D,ee,te,"\u223C","\\thicksim"),R(D,ee,te,"\u2248","\\thickapprox"),R(D,ee,te,"\u2AC6","\\supseteqq",!0),R(D,ee,te,"\u22D1","\\Supset",!0),R(D,ee,te,"\u2290","\\sqsupset",!0),R(D,ee,te,"\u227D","\\succcurlyeq",!0),R(D,ee,te,"\u22DF","\\curlyeqsucc",!0),R(D,ee,te,"\u227F","\\succsim",!0),R(D,ee,te,"\u2AB8","\\succapprox",!0),R(D,ee,te,"\u22B3","\\vartriangleright"),R(D,ee,te,"\u22B5","\\trianglerighteq"),R(D,ee,te,"\u22A9","\\Vdash",!0),R(D,ee,te,"\u2223","\\shortmid"),R(D,ee,te,"\u2225","\\shortparallel"),R(D,ee,te,"\u226C","\\between",!0),R(D,ee,te,"\u22D4","\\pitchfork",!0),R(D,ee,te,"\u221D","\\varpropto"),R(D,ee,te,"\u25C0","\\blacktriangleleft"),R(D,ee,te,"\u2234","\\therefore",!0),R(D,ee,te,"\u220D","\\backepsilon"),R(D,ee,te,"\u25B6","\\blacktriangleright"),R(D,ee,te,"\u2235","\\because",!0),R(D,ee,te,"\u22D8","\\llless"),R(D,ee,te,"\u22D9","\\gggtr"),R(D,ee,xe,"\u22B2","\\lhd"),R(D,ee,xe,"\u22B3","\\rhd"),R(D,ee,te,"\u2242","\\eqsim",!0),R(D,q,te,"\u22C8","\\Join"),R(D,ee,te,"\u2251","\\Doteq",!0),R(D,ee,xe,"\u2214","\\dotplus",!0),R(D,ee,xe,"\u2216","\\smallsetminus"),R(D,ee,xe,"\u22D2","\\Cap",!0),R(D,ee,xe,"\u22D3","\\Cup",!0),R(D,ee,xe,"\u2A5E","\\doublebarwedge",!0),R(D,ee,xe,"\u229F","\\boxminus",!0),R(D,ee,xe,"\u229E","\\boxplus",!0),R(D,ee,xe,"\u22C7","\\divideontimes",!0),R(D,ee,xe,"\u22C9","\\ltimes",!0),R(D,ee,xe,"\u22CA","\\rtimes",!0),R(D,ee,xe,"\u22CB","\\leftthreetimes",!0),R(D,ee,xe,"\u22CC","\\rightthreetimes",!0),R(D,ee,xe,"\u22CF","\\curlywedge",!0),R(D,ee,xe,"\u22CE","\\curlyvee",!0),R(D,ee,xe,"\u229D","\\circleddash",!0),R(D,ee,xe,"\u229B","\\circledast",!0),R(D,ee,xe,"\u22C5","\\centerdot"),R(D,ee,xe,"\u22BA","\\intercal",!0),R(D,ee,xe,"\u22D2","\\doublecap"),R(D,ee,xe,"\u22D3","\\doublecup"),R(D,ee,xe,"\u22A0","\\boxtimes",!0),R(D,ee,te,"\u21E2","\\dashrightarrow",!0),R(D,ee,te,"\u21E0","\\dashleftarrow",!0),R(D,ee,te,"\u21C7","\\leftleftarrows",!0),R(D,ee,te,"\u21C6","\\leftrightarrows",!0),R(D,ee,te,"\u21DA","\\Lleftarrow",!0),R(D,ee,te,"\u219E","\\twoheadleftarrow",!0),R(D,ee,te,"\u21A2","\\leftarrowtail",!0),R(D,ee,te,"\u21AB","\\looparrowleft",!0),R(D,ee,te,"\u21CB","\\leftrightharpoons",!0),R(D,ee,te,"\u21B6","\\curvearrowleft",!0),R(D,ee,te,"\u21BA","\\circlearrowleft",!0),R(D,ee,te,"\u21B0","\\Lsh",!0),R(D,ee,te,"\u21C8","\\upuparrows",!0),R(D,ee,te,"\u21BF","\\upharpoonleft",!0),R(D,ee,te,"\u21C3","\\downharpoonleft",!0),R(D,q,te,"\u22B6","\\origof",!0),R(D,q,te,"\u22B7","\\imageof",!0),R(D,ee,te,"\u22B8","\\multimap",!0),R(D,ee,te,"\u21AD","\\leftrightsquigarrow",!0),R(D,ee,te,"\u21C9","\\rightrightarrows",!0),R(D,ee,te,"\u21C4","\\rightleftarrows",!0),R(D,ee,te,"\u21A0","\\twoheadrightarrow",!0),R(D,ee,te,"\u21A3","\\rightarrowtail",!0),R(D,ee,te,"\u21AC","\\looparrowright",!0),R(D,ee,te,"\u21B7","\\curvearrowright",!0),R(D,ee,te,"\u21BB","\\circlearrowright",!0),R(D,ee,te,"\u21B1","\\Rsh",!0),R(D,ee,te,"\u21CA","\\downdownarrows",!0),R(D,ee,te,"\u21BE","\\upharpoonright",!0),R(D,ee,te,"\u21C2","\\downharpoonright",!0),R(D,ee,te,"\u21DD","\\rightsquigarrow",!0),R(D,ee,te,"\u21DD","\\leadsto"),R(D,ee,te,"\u21DB","\\Rrightarrow",!0),R(D,ee,te,"\u21BE","\\restriction"),R(D,q,se,"\u2018","`"),R(D,q,se,"$","\\$"),R(be,q,se,"$","\\$"),R(be,q,se,"$","\\textdollar"),R(D,q,se,"%","\\%"),R(be,q,se,"%","\\%"),R(D,q,se,"_","\\_"),R(be,q,se,"_","\\_"),R(be,q,se,"_","\\textunderscore"),R(D,q,se,"\u2220","\\angle",!0),R(D,q,se,"\u221E","\\infty",!0),R(D,q,se,"\u2032","\\prime"),R(D,q,se,"\u25B3","\\triangle"),R(D,q,se,"\u0393","\\Gamma",!0),R(D,q,se,"\u0394","\\Delta",!0),R(D,q,se,"\u0398","\\Theta",!0),R(D,q,se,"\u039B","\\Lambda",!0),R(D,q,se,"\u039E","\\Xi",!0),R(D,q,se,"\u03A0","\\Pi",!0),R(D,q,se,"\u03A3","\\Sigma",!0),R(D,q,se,"\u03A5","\\Upsilon",!0),R(D,q,se,"\u03A6","\\Phi",!0),R(D,q,se,"\u03A8","\\Psi",!0),R(D,q,se,"\u03A9","\\Omega",!0),R(D,q,se,"A","\u0391"),R(D,q,se,"B","\u0392"),R(D,q,se,"E","\u0395"),R(D,q,se,"Z","\u0396"),R(D,q,se,"H","\u0397"),R(D,q,se,"I","\u0399"),R(D,q,se,"K","\u039A"),R(D,q,se,"M","\u039C"),R(D,q,se,"N","\u039D"),R(D,q,se,"O","\u039F"),R(D,q,se,"P","\u03A1"),R(D,q,se,"T","\u03A4"),R(D,q,se,"X","\u03A7"),R(D,q,se,"\xAC","\\neg",!0),R(D,q,se,"\xAC","\\lnot"),R(D,q,se,"\u22A4","\\top"),R(D,q,se,"\u22A5","\\bot"),R(D,q,se,"\u2205","\\emptyset"),R(D,ee,se,"\u2205","\\varnothing"),R(D,q,Pe,"\u03B1","\\alpha",!0),R(D,q,Pe,"\u03B2","\\beta",!0),R(D,q,Pe,"\u03B3","\\gamma",!0),R(D,q,Pe,"\u03B4","\\delta",!0),R(D,q,Pe,"\u03F5","\\epsilon",!0),R(D,q,Pe,"\u03B6","\\zeta",!0),R(D,q,Pe,"\u03B7","\\eta",!0),R(D,q,Pe,"\u03B8","\\theta",!0),R(D,q,Pe,"\u03B9","\\iota",!0),R(D,q,Pe,"\u03BA","\\kappa",!0),R(D,q,Pe,"\u03BB","\\lambda",!0),R(D,q,Pe,"\u03BC","\\mu",!0),R(D,q,Pe,"\u03BD","\\nu",!0),R(D,q,Pe,"\u03BE","\\xi",!0),R(D,q,Pe,"\u03BF","\\omicron",!0),R(D,q,Pe,"\u03C0","\\pi",!0),R(D,q,Pe,"\u03C1","\\rho",!0),R(D,q,Pe,"\u03C3","\\sigma",!0),R(D,q,Pe,"\u03C4","\\tau",!0),R(D,q,Pe,"\u03C5","\\upsilon",!0),R(D,q,Pe,"\u03D5","\\phi",!0),R(D,q,Pe,"\u03C7","\\chi",!0),R(D,q,Pe,"\u03C8","\\psi",!0),R(D,q,Pe,"\u03C9","\\omega",!0),R(D,q,Pe,"\u03B5","\\varepsilon",!0),R(D,q,Pe,"\u03D1","\\vartheta",!0),R(D,q,Pe,"\u03D6","\\varpi",!0),R(D,q,Pe,"\u03F1","\\varrho",!0),R(D,q,Pe,"\u03C2","\\varsigma",!0),R(D,q,Pe,"\u03C6","\\varphi",!0),R(D,q,xe,"\u2217","*",!0),R(D,q,xe,"+","+"),R(D,q,xe,"\u2212","-",!0),R(D,q,xe,"\u22C5","\\cdot",!0),R(D,q,xe,"\u2218","\\circ",!0),R(D,q,xe,"\xF7","\\div",!0),R(D,q,xe,"\xB1","\\pm",!0),R(D,q,xe,"\xD7","\\times",!0),R(D,q,xe,"\u2229","\\cap",!0),R(D,q,xe,"\u222A","\\cup",!0),R(D,q,xe,"\u2216","\\setminus",!0),R(D,q,xe,"\u2227","\\land"),R(D,q,xe,"\u2228","\\lor"),R(D,q,xe,"\u2227","\\wedge",!0),R(D,q,xe,"\u2228","\\vee",!0),R(D,q,se,"\u221A","\\surd"),R(D,q,bt,"\u27E8","\\langle",!0),R(D,q,bt,"\u2223","\\lvert"),R(D,q,bt,"\u2225","\\lVert"),R(D,q,gt,"?","?"),R(D,q,gt,"!","!"),R(D,q,gt,"\u27E9","\\rangle",!0),R(D,q,gt,"\u2223","\\rvert"),R(D,q,gt,"\u2225","\\rVert"),R(D,q,te,"=","="),R(D,q,te,":",":"),R(D,q,te,"\u2248","\\approx",!0),R(D,q,te,"\u2245","\\cong",!0),R(D,q,te,"\u2265","\\ge"),R(D,q,te,"\u2265","\\geq",!0),R(D,q,te,"\u2190","\\gets"),R(D,q,te,">","\\gt",!0),R(D,q,te,"\u2208","\\in",!0),R(D,q,te,"\uE020","\\@not"),R(D,q,te,"\u2282","\\subset",!0),R(D,q,te,"\u2283","\\supset",!0),R(D,q,te,"\u2286","\\subseteq",!0),R(D,q,te,"\u2287","\\supseteq",!0),R(D,ee,te,"\u2288","\\nsubseteq",!0),R(D,ee,te,"\u2289","\\nsupseteq",!0),R(D,q,te,"\u22A8","\\models"),R(D,q,te,"\u2190","\\leftarrow",!0),R(D,q,te,"\u2264","\\le"),R(D,q,te,"\u2264","\\leq",!0),R(D,q,te,"<","\\lt",!0),R(D,q,te,"\u2192","\\rightarrow",!0),R(D,q,te,"\u2192","\\to"),R(D,ee,te,"\u2271","\\ngeq",!0),R(D,ee,te,"\u2270","\\nleq",!0),R(D,q,kt,"\xA0","\\ "),R(D,q,kt,"\xA0","\\space"),R(D,q,kt,"\xA0","\\nobreakspace"),R(be,q,kt,"\xA0","\\ "),R(be,q,kt,"\xA0"," "),R(be,q,kt,"\xA0","\\space"),R(be,q,kt,"\xA0","\\nobreakspace"),R(D,q,kt,null,"\\nobreak"),R(D,q,kt,null,"\\allowbreak"),R(D,q,In,",",","),R(D,q,In,";",";"),R(D,ee,xe,"\u22BC","\\barwedge",!0),R(D,ee,xe,"\u22BB","\\veebar",!0),R(D,q,xe,"\u2299","\\odot",!0),R(D,q,xe,"\u2295","\\oplus",!0),R(D,q,xe,"\u2297","\\otimes",!0),R(D,q,se,"\u2202","\\partial",!0),R(D,q,xe,"\u2298","\\oslash",!0),R(D,ee,xe,"\u229A","\\circledcirc",!0),R(D,ee,xe,"\u22A1","\\boxdot",!0),R(D,q,xe,"\u25B3","\\bigtriangleup"),R(D,q,xe,"\u25BD","\\bigtriangledown"),R(D,q,xe,"\u2020","\\dagger"),R(D,q,xe,"\u22C4","\\diamond"),R(D,q,xe,"\u22C6","\\star"),R(D,q,xe,"\u25C3","\\triangleleft"),R(D,q,xe,"\u25B9","\\triangleright"),R(D,q,bt,"{","\\{"),R(be,q,se,"{","\\{"),R(be,q,se,"{","\\textbraceleft"),R(D,q,gt,"}","\\}"),R(be,q,se,"}","\\}"),R(be,q,se,"}","\\textbraceright"),R(D,q,bt,"{","\\lbrace"),R(D,q,gt,"}","\\rbrace"),R(D,q,bt,"[","\\lbrack",!0),R(be,q,se,"[","\\lbrack",!0),R(D,q,gt,"]","\\rbrack",!0),R(be,q,se,"]","\\rbrack",!0),R(D,q,bt,"(","\\lparen",!0),R(D,q,gt,")","\\rparen",!0),R(be,q,se,"<","\\textless",!0),R(be,q,se,">","\\textgreater",!0),R(D,q,bt,"\u230A","\\lfloor",!0),R(D,q,gt,"\u230B","\\rfloor",!0),R(D,q,bt,"\u2308","\\lceil",!0),R(D,q,gt,"\u2309","\\rceil",!0),R(D,q,se,"\\","\\backslash"),R(D,q,se,"\u2223","|"),R(D,q,se,"\u2223","\\vert"),R(be,q,se,"|","\\textbar",!0),R(D,q,se,"\u2225","\\|"),R(D,q,se,"\u2225","\\Vert"),R(be,q,se,"\u2225","\\textbardbl"),R(be,q,se,"~","\\textasciitilde"),R(be,q,se,"\\","\\textbackslash"),R(be,q,se,"^","\\textasciicircum"),R(D,q,te,"\u2191","\\uparrow",!0),R(D,q,te,"\u21D1","\\Uparrow",!0),R(D,q,te,"\u2193","\\downarrow",!0),R(D,q,te,"\u21D3","\\Downarrow",!0),R(D,q,te,"\u2195","\\updownarrow",!0),R(D,q,te,"\u21D5","\\Updownarrow",!0),R(D,q,dt,"\u2210","\\coprod"),R(D,q,dt,"\u22C1","\\bigvee"),R(D,q,dt,"\u22C0","\\bigwedge"),R(D,q,dt,"\u2A04","\\biguplus"),R(D,q,dt,"\u22C2","\\bigcap"),R(D,q,dt,"\u22C3","\\bigcup"),R(D,q,dt,"\u222B","\\int"),R(D,q,dt,"\u222B","\\intop"),R(D,q,dt,"\u222C","\\iint"),R(D,q,dt,"\u222D","\\iiint"),R(D,q,dt,"\u220F","\\prod"),R(D,q,dt,"\u2211","\\sum"),R(D,q,dt,"\u2A02","\\bigotimes"),R(D,q,dt,"\u2A01","\\bigoplus"),R(D,q,dt,"\u2A00","\\bigodot"),R(D,q,dt,"\u222E","\\oint"),R(D,q,dt,"\u222F","\\oiint"),R(D,q,dt,"\u2230","\\oiiint"),R(D,q,dt,"\u2A06","\\bigsqcup"),R(D,q,dt,"\u222B","\\smallint"),R(be,q,an,"\u2026","\\textellipsis"),R(D,q,an,"\u2026","\\mathellipsis"),R(be,q,an,"\u2026","\\ldots",!0),R(D,q,an,"\u2026","\\ldots",!0),R(D,q,an,"\u22EF","\\@cdots",!0),R(D,q,an,"\u22F1","\\ddots",!0),R(D,q,se,"\u22EE","\\varvdots"),R(D,q,it,"\u02CA","\\acute"),R(D,q,it,"\u02CB","\\grave"),R(D,q,it,"\xA8","\\ddot"),R(D,q,it,"~","\\tilde"),R(D,q,it,"\u02C9","\\bar"),R(D,q,it,"\u02D8","\\breve"),R(D,q,it,"\u02C7","\\check"),R(D,q,it,"^","\\hat"),R(D,q,it,"\u20D7","\\vec"),R(D,q,it,"\u02D9","\\dot"),R(D,q,it,"\u02DA","\\mathring"),R(D,q,Pe,"\uE131","\\@imath"),R(D,q,Pe,"\uE237","\\@jmath"),R(D,q,se,"\u0131","\u0131"),R(D,q,se,"\u0237","\u0237"),R(be,q,se,"\u0131","\\i",!0),R(be,q,se,"\u0237","\\j",!0),R(be,q,se,"\xDF","\\ss",!0),R(be,q,se,"\xE6","\\ae",!0),R(be,q,se,"\u0153","\\oe",!0),R(be,q,se,"\xF8","\\o",!0),R(be,q,se,"\xC6","\\AE",!0),R(be,q,se,"\u0152","\\OE",!0),R(be,q,se,"\xD8","\\O",!0),R(be,q,it,"\u02CA","\\'"),R(be,q,it,"\u02CB","\\`"),R(be,q,it,"\u02C6","\\^"),R(be,q,it,"\u02DC","\\~"),R(be,q,it,"\u02C9","\\="),R(be,q,it,"\u02D8","\\u"),R(be,q,it,"\u02D9","\\."),R(be,q,it,"\xB8","\\c"),R(be,q,it,"\u02DA","\\r"),R(be,q,it,"\u02C7","\\v"),R(be,q,it,"\xA8",'\\"'),R(be,q,it,"\u02DD","\\H"),R(be,q,it,"\u25EF","\\textcircled");var Dr={"--":!0,"---":!0,"``":!0,"''":!0};R(be,q,se,"\u2013","--",!0),R(be,q,se,"\u2013","\\textendash"),R(be,q,se,"\u2014","---",!0),R(be,q,se,"\u2014","\\textemdash"),R(be,q,se,"\u2018","`",!0),R(be,q,se,"\u2018","\\textquoteleft"),R(be,q,se,"\u2019","'",!0),R(be,q,se,"\u2019","\\textquoteright"),R(be,q,se,"\u201C","``",!0),R(be,q,se,"\u201C","\\textquotedblleft"),R(be,q,se,"\u201D","''",!0),R(be,q,se,"\u201D","\\textquotedblright"),R(D,q,se,"\xB0","\\degree",!0),R(be,q,se,"\xB0","\\degree"),R(be,q,se,"\xB0","\\textdegree",!0),R(D,q,se,"\xA3","\\pounds"),R(D,q,se,"\xA3","\\mathsterling",!0),R(be,q,se,"\xA3","\\pounds"),R(be,q,se,"\xA3","\\textsterling",!0),R(D,ee,se,"\u2720","\\maltese"),R(be,ee,se,"\u2720","\\maltese");for(var xr='0123456789/@."',rr=0;rro&&(o=I.height),I.depth>s&&(s=I.depth),I.maxFontSize>_&&(_=I.maxFontSize)}i.height=o,i.depth=s,i.maxFontSize=_},St=function(i,o,s,_){var T=new hn(i,o,s,_);return lr(T),T},cr=function(i,o,s,_){return new hn(i,o,s,_)},Pr=function(i,o,s){var _=St([i],[],o);return _.height=Math.max(s||o.fontMetrics().defaultRuleThickness,o.minRuleThickness),_.style.borderBottomWidth=Oe(_.height),_.maxFontSize=1,_},Br=function(i,o,s,_){var T=new tr(i,o,s,_);return lr(T),T},Fr=function(i){var o=new me(i);return lr(o),o},qi=function(i,o){return i instanceof me?St([],[i],o):i},Ur=function(i){if(i.positionType==="individualShift"){for(var o=i.children,s=[o[0]],_=-o[0].shift-o[0].elem.depth,T=_,I=1;I0&&(T.push(zr(I,i)),I=[]),T.push(s[B]));I.length>0&&T.push(zr(I,i));var V;o?(V=zr(ft(o,i,!0)),V.classes=["tag"],T.push(V)):_&&T.push(_);var ne=Jt(["katex-html"],T);if(ne.setAttribute("aria-hidden","true"),V){var pe=V.children[0];pe.style.height=Oe(ne.height+ne.depth),ne.depth&&(pe.style.verticalAlign=Oe(-ne.depth))}return ne}function co(N){return new me(N)}var It=function(){function N(o,s,_){this.type=void 0,this.attributes=void 0,this.children=void 0,this.classes=void 0,this.type=o,this.attributes={},this.children=s||[],this.classes=_||[]}var i=N.prototype;return i.setAttribute=function(s,_){this.attributes[s]=_},i.getAttribute=function(s){return this.attributes[s]},i.toNode=function(){var s=document.createElementNS("http://www.w3.org/1998/Math/MathML",this.type);for(var _ in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,_)&&s.setAttribute(_,this.attributes[_]);this.classes.length>0&&(s.className=Ut(this.classes));for(var T=0;T0&&(s+=' class ="'+E.escape(Ut(this.classes))+'"'),s+=">";for(var T=0;T",s},i.toText=function(){return this.children.map(function(s){return s.toText()}).join("")},N}(),mr=function(){function N(o){this.text=void 0,this.text=o}var i=N.prototype;return i.toNode=function(){return document.createTextNode(this.text)},i.toMarkup=function(){return E.escape(this.toText())},i.toText=function(){return this.text},N}(),Fc=function(){function N(o){this.width=void 0,this.character=void 0,this.width=o,o>=.05555&&o<=.05556?this.character="\u200A":o>=.1666&&o<=.1667?this.character="\u2009":o>=.2222&&o<=.2223?this.character="\u2005":o>=.2777&&o<=.2778?this.character="\u2005\u200A":o>=-.05556&&o<=-.05555?this.character="\u200A\u2063":o>=-.1667&&o<=-.1666?this.character="\u2009\u2063":o>=-.2223&&o<=-.2222?this.character="\u205F\u2063":o>=-.2778&&o<=-.2777?this.character="\u2005\u2063":this.character=null}var i=N.prototype;return i.toNode=function(){if(this.character)return document.createTextNode(this.character);var s=document.createElementNS("http://www.w3.org/1998/Math/MathML","mspace");return s.setAttribute("width",Oe(this.width)),s},i.toMarkup=function(){return this.character?""+this.character+"":''},i.toText=function(){return this.character?this.character:" "},N}(),ve={MathNode:It,TextNode:mr,SpaceNode:Fc,newDocumentFragment:co},Dt=function(i,o,s){return st[o][i]&&st[o][i].replace&&i.charCodeAt(0)!==55349&&!(Dr.hasOwnProperty(i)&&s&&(s.fontFamily&&s.fontFamily.slice(4,6)==="tt"||s.font&&s.font.slice(4,6)==="tt"))&&(i=st[o][i].replace),new ve.TextNode(i)},$i=function(i){return i.length===1?i[0]:new ve.MathNode("mrow",i)},Hi=function(i,o){if(o.fontFamily==="texttt")return"monospace";if(o.fontFamily==="textsf")return o.fontShape==="textit"&&o.fontWeight==="textbf"?"sans-serif-bold-italic":o.fontShape==="textit"?"sans-serif-italic":o.fontWeight==="textbf"?"bold-sans-serif":"sans-serif";if(o.fontShape==="textit"&&o.fontWeight==="textbf")return"bold-italic";if(o.fontShape==="textit")return"italic";if(o.fontWeight==="textbf")return"bold";var s=o.font;if(!s||s==="mathnormal")return null;var _=i.mode;if(s==="mathit")return"italic";if(s==="boldsymbol")return i.type==="textord"?"bold":"bold-italic";if(s==="mathbf")return"bold";if(s==="mathbb")return"double-struck";if(s==="mathfrak")return"fraktur";if(s==="mathscr"||s==="mathcal")return"script";if(s==="mathsf")return"sans-serif";if(s==="mathtt")return"monospace";var T=i.text;if(E.contains(["\\imath","\\jmath"],T))return null;st[_][T]&&st[_][T].replace&&(T=st[_][T].replace);var I=_e.fontMap[s].fontName;return Ge(T,I,_)?_e.fontMap[s].variant:null},yt=function(i,o,s){if(i.length===1){var _=rt(i[0],o);return s&&_ instanceof It&&_.type==="mo"&&(_.setAttribute("lspace","0em"),_.setAttribute("rspace","0em")),[_]}for(var T=[],I,B=0;B0&&(Se.text=Se.text.slice(0,1)+"\u0338"+Se.text.slice(1),T.pop())}}}T.push($),I=$}return T},on=function(i,o,s){return $i(yt(i,o,s))},rt=function(i,o){if(!i)return new ve.MathNode("mrow");if(qr[i.type]){var s=qr[i.type](i,o);return s}else throw new l("Got group of unknown type: '"+i.type+"'")};function uo(N,i,o,s,_){var T=yt(N,o),I;T.length===1&&T[0]instanceof It&&E.contains(["mrow","mtable"],T[0].type)?I=T[0]:I=new ve.MathNode("mrow",T);var B=new ve.MathNode("annotation",[new ve.TextNode(i)]);B.setAttribute("encoding","application/x-tex");var $=new ve.MathNode("semantics",[I,B]),V=new ve.MathNode("math",[$]);V.setAttribute("xmlns","http://www.w3.org/1998/Math/MathML"),s&&V.setAttribute("display","block");var ne=_?"katex":"katex-mathml";return _e.makeSpan([ne],[V])}var _o=function(i){return new ke({style:i.displayMode?U.DISPLAY:U.TEXT,maxSize:i.maxSize,minRuleThickness:i.minRuleThickness})},po=function(i,o){if(o.displayMode){var s=["katex-display"];o.leqno&&s.push("leqno"),o.fleqn&&s.push("fleqn"),i=_e.makeSpan(s,[i])}return i},Uc=function(i,o,s){var _=_o(s),T;if(s.output==="mathml")return uo(i,o,_,s.displayMode,!0);if(s.output==="html"){var I=zi(i,_);T=_e.makeSpan(["katex"],[I])}else{var B=uo(i,o,_,s.displayMode,!1),$=zi(i,_);T=_e.makeSpan(["katex"],[B,$])}return po(T,s)},Gc=function(i,o,s){var _=_o(s),T=zi(i,_),I=_e.makeSpan(["katex"],[T]);return po(I,s)},qc={widehat:"^",widecheck:"\u02C7",widetilde:"~",utilde:"~",overleftarrow:"\u2190",underleftarrow:"\u2190",xleftarrow:"\u2190",overrightarrow:"\u2192",underrightarrow:"\u2192",xrightarrow:"\u2192",underbrace:"\u23DF",overbrace:"\u23DE",overgroup:"\u23E0",undergroup:"\u23E1",overleftrightarrow:"\u2194",underleftrightarrow:"\u2194",xleftrightarrow:"\u2194",Overrightarrow:"\u21D2",xRightarrow:"\u21D2",overleftharpoon:"\u21BC",xleftharpoonup:"\u21BC",overrightharpoon:"\u21C0",xrightharpoonup:"\u21C0",xLeftarrow:"\u21D0",xLeftrightarrow:"\u21D4",xhookleftarrow:"\u21A9",xhookrightarrow:"\u21AA",xmapsto:"\u21A6",xrightharpoondown:"\u21C1",xleftharpoondown:"\u21BD",xrightleftharpoons:"\u21CC",xleftrightharpoons:"\u21CB",xtwoheadleftarrow:"\u219E",xtwoheadrightarrow:"\u21A0",xlongequal:"=",xtofrom:"\u21C4",xrightleftarrows:"\u21C4",xrightequilibrium:"\u21CC",xleftequilibrium:"\u21CB","\\cdrightarrow":"\u2192","\\cdleftarrow":"\u2190","\\cdlongequal":"="},Yc=function(i){var o=new ve.MathNode("mo",[new ve.TextNode(qc[i.replace(/^\\/,"")])]);return o.setAttribute("stretchy","true"),o},zc={overrightarrow:[["rightarrow"],.888,522,"xMaxYMin"],overleftarrow:[["leftarrow"],.888,522,"xMinYMin"],underrightarrow:[["rightarrow"],.888,522,"xMaxYMin"],underleftarrow:[["leftarrow"],.888,522,"xMinYMin"],xrightarrow:[["rightarrow"],1.469,522,"xMaxYMin"],"\\cdrightarrow":[["rightarrow"],3,522,"xMaxYMin"],xleftarrow:[["leftarrow"],1.469,522,"xMinYMin"],"\\cdleftarrow":[["leftarrow"],3,522,"xMinYMin"],Overrightarrow:[["doublerightarrow"],.888,560,"xMaxYMin"],xRightarrow:[["doublerightarrow"],1.526,560,"xMaxYMin"],xLeftarrow:[["doubleleftarrow"],1.526,560,"xMinYMin"],overleftharpoon:[["leftharpoon"],.888,522,"xMinYMin"],xleftharpoonup:[["leftharpoon"],.888,522,"xMinYMin"],xleftharpoondown:[["leftharpoondown"],.888,522,"xMinYMin"],overrightharpoon:[["rightharpoon"],.888,522,"xMaxYMin"],xrightharpoonup:[["rightharpoon"],.888,522,"xMaxYMin"],xrightharpoondown:[["rightharpoondown"],.888,522,"xMaxYMin"],xlongequal:[["longequal"],.888,334,"xMinYMin"],"\\cdlongequal":[["longequal"],3,334,"xMinYMin"],xtwoheadleftarrow:[["twoheadleftarrow"],.888,334,"xMinYMin"],xtwoheadrightarrow:[["twoheadrightarrow"],.888,334,"xMaxYMin"],overleftrightarrow:[["leftarrow","rightarrow"],.888,522],overbrace:[["leftbrace","midbrace","rightbrace"],1.6,548],underbrace:[["leftbraceunder","midbraceunder","rightbraceunder"],1.6,548],underleftrightarrow:[["leftarrow","rightarrow"],.888,522],xleftrightarrow:[["leftarrow","rightarrow"],1.75,522],xLeftrightarrow:[["doubleleftarrow","doublerightarrow"],1.75,560],xrightleftharpoons:[["leftharpoondownplus","rightharpoonplus"],1.75,716],xleftrightharpoons:[["leftharpoonplus","rightharpoondownplus"],1.75,716],xhookleftarrow:[["leftarrow","righthook"],1.08,522],xhookrightarrow:[["lefthook","rightarrow"],1.08,522],overlinesegment:[["leftlinesegment","rightlinesegment"],.888,522],underlinesegment:[["leftlinesegment","rightlinesegment"],.888,522],overgroup:[["leftgroup","rightgroup"],.888,342],undergroup:[["leftgroupunder","rightgroupunder"],.888,342],xmapsto:[["leftmapsto","rightarrow"],1.5,522],xtofrom:[["leftToFrom","rightToFrom"],1.75,528],xrightleftarrows:[["baraboveleftarrow","rightarrowabovebar"],1.75,901],xrightequilibrium:[["baraboveshortleftharpoon","rightharpoonaboveshortbar"],1.75,716],xleftequilibrium:[["shortbaraboveleftharpoon","shortrightharpoonabovebar"],1.75,716]},$c=function(i){return i.type==="ordgroup"?i.body.length:1},Hc=function(i,o){function s(){var $=4e5,V=i.label.slice(1);if(E.contains(["widehat","widecheck","widetilde","utilde"],V)){var ne=i,pe=$c(ne.base),ge,fe,Se;if(pe>5)V==="widehat"||V==="widecheck"?(ge=420,$=2364,Se=.42,fe=V+"4"):(ge=312,$=2340,Se=.34,fe="tilde4");else{var Re=[1,1,2,2,3,3][pe];V==="widehat"||V==="widecheck"?($=[0,1062,2364,2364,2364][Re],ge=[0,239,300,360,420][Re],Se=[0,.24,.3,.3,.36,.42][Re],fe=V+Re):($=[0,600,1033,2339,2340][Re],ge=[0,260,286,306,312][Re],Se=[0,.26,.286,.3,.306,.34][Re],fe="tilde"+Re)}var Ae=new Gt(fe),we=new Lt([Ae],{width:"100%",height:Oe(Se),viewBox:"0 0 "+$+" "+ge,preserveAspectRatio:"none"});return{span:_e.makeSvgSpan([],[we],o),minWidth:0,height:Se}}else{var Me=[],Be=zc[V],je=Be[0],Ve=Be[1],et=Be[2],Qe=et/1e3,tt=je.length,lt,ht;if(tt===1){var Nt=Be[3];lt=["hide-tail"],ht=[Nt]}else if(tt===2)lt=["halfarrow-left","halfarrow-right"],ht=["xMinYMin","xMaxYMin"];else if(tt===3)lt=["brace-left","brace-center","brace-right"],ht=["xMinYMin","xMidYMin","xMaxYMin"];else throw new Error(`Correct katexImagesData or update code here to support `+tt+" children.");for(var ut=0;ut0&&(T.style.minWidth=Oe(I)),T},Vc=function(i,o,s,_,T){var I,B=i.height+i.depth+s+_;if(/fbox|color|angl/.test(o)){if(I=_e.makeSpan(["stretchy",o],[],T),o==="fbox"){var $=T.color&&T.getColor();$&&(I.style.borderColor=$)}}else{var V=[];/^[bx]cancel$/.test(o)&&V.push(new nr({x1:"0",y1:"0",x2:"100%",y2:"100%","stroke-width":"0.046em"})),/^x?cancel$/.test(o)&&V.push(new nr({x1:"0",y1:"100%",x2:"100%",y2:"0","stroke-width":"0.046em"}));var ne=new Lt(V,{width:"100%",height:Oe(B)});I=_e.makeSvgSpan([],[ne],T)}return I.height=B,I.style.height=Oe(B),I},en={encloseSpan:Vc,mathMLnode:Yc,svgSpan:Hc};function He(N,i){if(!N||N.type!==i)throw new Error("Expected node of type "+i+", but got "+(N?"node of type "+N.type:String(N)));return N}function Vi(N){var i=$r(N);if(!i)throw new Error("Expected node of symbol group type, but got "+(N?"node of type "+N.type:String(N)));return i}function $r(N){return N&&(N.type==="atom"||Li.hasOwnProperty(N.type))?N:null}var Wi=function(i,o){var s,_,T;i&&i.type==="supsub"?(_=He(i.base,"accent"),s=_.base,i.base=s,T=wi(Ke(i,o)),i.base=_):(_=He(i,"accent"),s=_.base);var I=Ke(s,o.havingCrampedStyle()),B=_.isShifty&&E.isCharacterBox(s),$=0;if(B){var V=E.getBaseElem(s),ne=Ke(V,o.havingCrampedStyle());$=Ir(ne).skew}var pe=_.label==="\\c",ge=pe?I.height+I.depth:Math.min(I.height,o.fontMetrics().xHeight),fe;if(_.isStretchy)fe=en.svgSpan(_,o),fe=_e.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:I},{type:"elem",elem:fe,wrapperClasses:["svg-align"],wrapperStyle:$>0?{width:"calc(100% - "+Oe(2*$)+")",marginLeft:Oe(2*$)}:void 0}]},o);else{var Se,Re;_.label==="\\vec"?(Se=_e.staticSvg("vec",o),Re=_e.svgData.vec[1]):(Se=_e.makeOrd({mode:_.mode,text:_.label},o,"textord"),Se=Ir(Se),Se.italic=0,Re=Se.width,pe&&(ge+=Se.depth)),fe=_e.makeSpan(["accent-body"],[Se]);var Ae=_.label==="\\textcircled";Ae&&(fe.classes.push("accent-full"),ge=I.height);var we=$;Ae||(we-=Re/2),fe.style.left=Oe(we),_.label==="\\textcircled"&&(fe.style.top=".2em"),fe=_e.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:I},{type:"kern",size:-ge},{type:"elem",elem:fe}]},o)}var Me=_e.makeSpan(["mord","accent"],[fe],o);return T?(T.children[0]=Me,T.height=Math.max(Me.height,T.height),T.classes[0]="mord",T):Me},mo=function(i,o){var s=i.isStretchy?en.mathMLnode(i.label):new ve.MathNode("mo",[Dt(i.label,i.mode)]),_=new ve.MathNode("mover",[rt(i.base,o),s]);return _.setAttribute("accent","true"),_},Wc=new RegExp(["\\acute","\\grave","\\ddot","\\tilde","\\bar","\\breve","\\check","\\hat","\\vec","\\dot","\\mathring"].map(function(N){return"\\"+N}).join("|"));De({type:"accent",names:["\\acute","\\grave","\\ddot","\\tilde","\\bar","\\breve","\\check","\\hat","\\vec","\\dot","\\mathring","\\widecheck","\\widehat","\\widetilde","\\overrightarrow","\\overleftarrow","\\Overrightarrow","\\overleftrightarrow","\\overgroup","\\overlinesegment","\\overleftharpoon","\\overrightharpoon"],props:{numArgs:1},handler:function(i,o){var s=Yr(o[0]),_=!Wc.test(i.funcName),T=!_||i.funcName==="\\widehat"||i.funcName==="\\widetilde"||i.funcName==="\\widecheck";return{type:"accent",mode:i.parser.mode,label:i.funcName,isStretchy:_,isShifty:T,base:s}},htmlBuilder:Wi,mathmlBuilder:mo}),De({type:"accent",names:["\\'","\\`","\\^","\\~","\\=","\\u","\\.",'\\"',"\\c","\\r","\\H","\\v","\\textcircled"],props:{numArgs:1,allowedInText:!0,allowedInMath:!0,argTypes:["primitive"]},handler:function(i,o){var s=o[0],_=i.parser.mode;return _==="math"&&(i.parser.settings.reportNonstrict("mathVsTextAccents","LaTeX's accent "+i.funcName+" works only in text mode"),_="text"),{type:"accent",mode:_,label:i.funcName,isStretchy:!1,isShifty:!0,base:s}},htmlBuilder:Wi,mathmlBuilder:mo}),De({type:"accentUnder",names:["\\underleftarrow","\\underrightarrow","\\underleftrightarrow","\\undergroup","\\underlinesegment","\\utilde"],props:{numArgs:1},handler:function(i,o){var s=i.parser,_=i.funcName,T=o[0];return{type:"accentUnder",mode:s.mode,label:_,base:T}},htmlBuilder:function(i,o){var s=Ke(i.base,o),_=en.svgSpan(i,o),T=i.label==="\\utilde"?.12:0,I=_e.makeVList({positionType:"top",positionData:s.height,children:[{type:"elem",elem:_,wrapperClasses:["svg-align"]},{type:"kern",size:T},{type:"elem",elem:s}]},o);return _e.makeSpan(["mord","accentunder"],[I],o)},mathmlBuilder:function(i,o){var s=en.mathMLnode(i.label),_=new ve.MathNode("munder",[rt(i.base,o),s]);return _.setAttribute("accentunder","true"),_}});var Hr=function(i){var o=new ve.MathNode("mpadded",i?[i]:[]);return o.setAttribute("width","+0.6em"),o.setAttribute("lspace","0.3em"),o};De({type:"xArrow",names:["\\xleftarrow","\\xrightarrow","\\xLeftarrow","\\xRightarrow","\\xleftrightarrow","\\xLeftrightarrow","\\xhookleftarrow","\\xhookrightarrow","\\xmapsto","\\xrightharpoondown","\\xrightharpoonup","\\xleftharpoondown","\\xleftharpoonup","\\xrightleftharpoons","\\xleftrightharpoons","\\xlongequal","\\xtwoheadrightarrow","\\xtwoheadleftarrow","\\xtofrom","\\xrightleftarrows","\\xrightequilibrium","\\xleftequilibrium","\\\\cdrightarrow","\\\\cdleftarrow","\\\\cdlongequal"],props:{numArgs:1,numOptionalArgs:1},handler:function(i,o,s){var _=i.parser,T=i.funcName;return{type:"xArrow",mode:_.mode,label:T,body:o[0],below:s[0]}},htmlBuilder:function(i,o){var s=o.style,_=o.havingStyle(s.sup()),T=_e.wrapFragment(Ke(i.body,_,o),o),I=i.label.slice(0,2)==="\\x"?"x":"cd";T.classes.push(I+"-arrow-pad");var B;i.below&&(_=o.havingStyle(s.sub()),B=_e.wrapFragment(Ke(i.below,_,o),o),B.classes.push(I+"-arrow-pad"));var $=en.svgSpan(i,o),V=-o.fontMetrics().axisHeight+.5*$.height,ne=-o.fontMetrics().axisHeight-.5*$.height-.111;(T.depth>.25||i.label==="\\xleftequilibrium")&&(ne-=T.depth);var pe;if(B){var ge=-o.fontMetrics().axisHeight+B.height+.5*$.height+.111;pe=_e.makeVList({positionType:"individualShift",children:[{type:"elem",elem:T,shift:ne},{type:"elem",elem:$,shift:V},{type:"elem",elem:B,shift:ge}]},o)}else pe=_e.makeVList({positionType:"individualShift",children:[{type:"elem",elem:T,shift:ne},{type:"elem",elem:$,shift:V}]},o);return pe.children[0].children[0].children[1].classes.push("svg-align"),_e.makeSpan(["mrel","x-arrow"],[pe],o)},mathmlBuilder:function(i,o){var s=en.mathMLnode(i.label);s.setAttribute("minsize",i.label.charAt(0)==="x"?"1.75em":"3.0em");var _;if(i.body){var T=Hr(rt(i.body,o));if(i.below){var I=Hr(rt(i.below,o));_=new ve.MathNode("munderover",[s,I,T])}else _=new ve.MathNode("mover",[s,T])}else if(i.below){var B=Hr(rt(i.below,o));_=new ve.MathNode("munder",[s,B])}else _=Hr(),_=new ve.MathNode("mover",[s,_]);return _}});var Kc=_e.makeSpan;function fo(N,i){var o=ft(N.body,i,!0);return Kc([N.mclass],o,i)}function go(N,i){var o,s=yt(N.body,i);return N.mclass==="minner"?o=new ve.MathNode("mpadded",s):N.mclass==="mord"?N.isCharacterBox?(o=s[0],o.type="mi"):o=new ve.MathNode("mi",s):(N.isCharacterBox?(o=s[0],o.type="mo"):o=new ve.MathNode("mo",s),N.mclass==="mbin"?(o.attributes.lspace="0.22em",o.attributes.rspace="0.22em"):N.mclass==="mpunct"?(o.attributes.lspace="0em",o.attributes.rspace="0.17em"):N.mclass==="mopen"||N.mclass==="mclose"?(o.attributes.lspace="0em",o.attributes.rspace="0em"):N.mclass==="minner"&&(o.attributes.lspace="0.0556em",o.attributes.width="+0.1111em")),o}De({type:"mclass",names:["\\mathord","\\mathbin","\\mathrel","\\mathopen","\\mathclose","\\mathpunct","\\mathinner"],props:{numArgs:1,primitive:!0},handler:function(i,o){var s=i.parser,_=i.funcName,T=o[0];return{type:"mclass",mode:s.mode,mclass:"m"+_.slice(5),body:pt(T),isCharacterBox:E.isCharacterBox(T)}},htmlBuilder:fo,mathmlBuilder:go});var Vr=function(i){var o=i.type==="ordgroup"&&i.body.length?i.body[0]:i;return o.type==="atom"&&(o.family==="bin"||o.family==="rel")?"m"+o.family:"mord"};De({type:"mclass",names:["\\@binrel"],props:{numArgs:2},handler:function(i,o){var s=i.parser;return{type:"mclass",mode:s.mode,mclass:Vr(o[0]),body:pt(o[1]),isCharacterBox:E.isCharacterBox(o[1])}}}),De({type:"mclass",names:["\\stackrel","\\overset","\\underset"],props:{numArgs:2},handler:function(i,o){var s=i.parser,_=i.funcName,T=o[1],I=o[0],B;_!=="\\stackrel"?B=Vr(T):B="mrel";var $={type:"op",mode:T.mode,limits:!0,alwaysHandleSupSub:!0,parentIsSupSub:!1,symbol:!1,suppressBaseShift:_!=="\\stackrel",body:pt(T)},V={type:"supsub",mode:I.mode,base:$,sup:_==="\\underset"?null:I,sub:_==="\\underset"?I:null};return{type:"mclass",mode:s.mode,mclass:B,body:[V],isCharacterBox:E.isCharacterBox(V)}},htmlBuilder:fo,mathmlBuilder:go}),De({type:"pmb",names:["\\pmb"],props:{numArgs:1,allowedInText:!0},handler:function(i,o){var s=i.parser;return{type:"pmb",mode:s.mode,mclass:Vr(o[0]),body:pt(o[0])}},htmlBuilder:function(i,o){var s=ft(i.body,o,!0),_=_e.makeSpan([i.mclass],s,o);return _.style.textShadow="0.02em 0.01em 0.04px",_},mathmlBuilder:function(i,o){var s=yt(i.body,o),_=new ve.MathNode("mstyle",s);return _.setAttribute("style","text-shadow: 0.02em 0.01em 0.04px"),_}});var Qc={">":"\\\\cdrightarrow","<":"\\\\cdleftarrow","=":"\\\\cdlongequal",A:"\\uparrow",V:"\\downarrow","|":"\\Vert",".":"no arrow"},ho=function(){return{type:"styling",body:[],mode:"math",style:"display"}},Eo=function(i){return i.type==="textord"&&i.text==="@"},jc=function(i,o){return(i.type==="mathord"||i.type==="atom")&&i.text===o};function Xc(N,i,o){var s=Qc[N];switch(s){case"\\\\cdrightarrow":case"\\\\cdleftarrow":return o.callFunction(s,[i[0]],[i[1]]);case"\\uparrow":case"\\downarrow":{var _=o.callFunction("\\\\cdleft",[i[0]],[]),T={type:"atom",text:s,mode:"math",family:"rel"},I=o.callFunction("\\Big",[T],[]),B=o.callFunction("\\\\cdright",[i[1]],[]),$={type:"ordgroup",mode:"math",body:[_,I,B]};return o.callFunction("\\\\cdparent",[$],[])}case"\\\\cdlongequal":return o.callFunction("\\\\cdlongequal",[],[]);case"\\Vert":{var V={type:"textord",text:"\\Vert",mode:"math"};return o.callFunction("\\Big",[V],[])}default:return{type:"textord",text:" ",mode:"math"}}}function Zc(N){var i=[];for(N.gullet.beginGroup(),N.gullet.macros.set("\\cr","\\\\\\relax"),N.gullet.beginGroup();;){i.push(N.parseExpression(!1,"\\\\")),N.gullet.endGroup(),N.gullet.beginGroup();var o=N.fetch().text;if(o==="&"||o==="\\\\")N.consume();else if(o==="\\end"){i[i.length-1].length===0&&i.pop();break}else throw new l("Expected \\\\ or \\cr or \\end",N.nextToken)}for(var s=[],_=[s],T=0;T-1))if("<>AV".indexOf(V)>-1)for(var pe=0;pe<2;pe++){for(var ge=!0,fe=$+1;feAV=|." after @',I[$]);var Se=Xc(V,ne,N),Re={type:"styling",body:[Se],mode:"math",style:"display"};s.push(Re),B=ho()}T%2===0?s.push(B):s.shift(),s=[],_.push(s)}N.gullet.endGroup(),N.gullet.endGroup();var Ae=new Array(_[0].length).fill({type:"align",align:"c",pregap:.25,postgap:.25});return{type:"array",mode:"math",body:_,arraystretch:1,addJot:!0,rowGaps:[null],cols:Ae,colSeparationType:"CD",hLinesBeforeRow:new Array(_.length+1).fill([])}}De({type:"cdlabel",names:["\\\\cdleft","\\\\cdright"],props:{numArgs:1},handler:function(i,o){var s=i.parser,_=i.funcName;return{type:"cdlabel",mode:s.mode,side:_.slice(4),label:o[0]}},htmlBuilder:function(i,o){var s=o.havingStyle(o.style.sup()),_=_e.wrapFragment(Ke(i.label,s,o),o);return _.classes.push("cd-label-"+i.side),_.style.bottom=Oe(.8-_.depth),_.height=0,_.depth=0,_},mathmlBuilder:function(i,o){var s=new ve.MathNode("mrow",[rt(i.label,o)]);return s=new ve.MathNode("mpadded",[s]),s.setAttribute("width","0"),i.side==="left"&&s.setAttribute("lspace","-1width"),s.setAttribute("voffset","0.7em"),s=new ve.MathNode("mstyle",[s]),s.setAttribute("displaystyle","false"),s.setAttribute("scriptlevel","1"),s}}),De({type:"cdlabelparent",names:["\\\\cdparent"],props:{numArgs:1},handler:function(i,o){var s=i.parser;return{type:"cdlabelparent",mode:s.mode,fragment:o[0]}},htmlBuilder:function(i,o){var s=_e.wrapFragment(Ke(i.fragment,o),o);return s.classes.push("cd-vert-arrow"),s},mathmlBuilder:function(i,o){return new ve.MathNode("mrow",[rt(i.fragment,o)])}}),De({type:"textord",names:["\\@char"],props:{numArgs:1,allowedInText:!0},handler:function(i,o){for(var s=i.parser,_=He(o[0],"ordgroup"),T=_.body,I="",B=0;B=1114111)throw new l("\\@char with invalid code point "+I);return V<=65535?ne=String.fromCharCode(V):(V-=65536,ne=String.fromCharCode((V>>10)+55296,(V&1023)+56320)),{type:"textord",mode:s.mode,text:ne}}});var So=function(i,o){var s=ft(i.body,o.withColor(i.color),!1);return _e.makeFragment(s)},bo=function(i,o){var s=yt(i.body,o.withColor(i.color)),_=new ve.MathNode("mstyle",s);return _.setAttribute("mathcolor",i.color),_};De({type:"color",names:["\\textcolor"],props:{numArgs:2,allowedInText:!0,argTypes:["color","original"]},handler:function(i,o){var s=i.parser,_=He(o[0],"color-token").color,T=o[1];return{type:"color",mode:s.mode,color:_,body:pt(T)}},htmlBuilder:So,mathmlBuilder:bo}),De({type:"color",names:["\\color"],props:{numArgs:1,allowedInText:!0,argTypes:["color"]},handler:function(i,o){var s=i.parser,_=i.breakOnTokenText,T=He(o[0],"color-token").color;s.gullet.macros.set("\\current@color",T);var I=s.parseExpression(!0,_);return{type:"color",mode:s.mode,color:T,body:I}},htmlBuilder:So,mathmlBuilder:bo}),De({type:"cr",names:["\\\\"],props:{numArgs:0,numOptionalArgs:0,allowedInText:!0},handler:function(i,o,s){var _=i.parser,T=_.gullet.future().text==="["?_.parseSizeGroup(!0):null,I=!_.settings.displayMode||!_.settings.useStrictBehavior("newLineInDisplayMode","In LaTeX, \\\\ or \\newline does nothing in display mode");return{type:"cr",mode:_.mode,newLine:I,size:T&&He(T,"size").value}},htmlBuilder:function(i,o){var s=_e.makeSpan(["mspace"],[],o);return i.newLine&&(s.classes.push("newline"),i.size&&(s.style.marginTop=Oe(nt(i.size,o)))),s},mathmlBuilder:function(i,o){var s=new ve.MathNode("mspace");return i.newLine&&(s.setAttribute("linebreak","newline"),i.size&&s.setAttribute("height",Oe(nt(i.size,o)))),s}});var Ki={"\\global":"\\global","\\long":"\\\\globallong","\\\\globallong":"\\\\globallong","\\def":"\\gdef","\\gdef":"\\gdef","\\edef":"\\xdef","\\xdef":"\\xdef","\\let":"\\\\globallet","\\futurelet":"\\\\globalfuture"},vo=function(i){var o=i.text;if(/^(?:[\\{}$&#^_]|EOF)$/.test(o))throw new l("Expected a control sequence",i);return o},Jc=function(i){var o=i.gullet.popToken();return o.text==="="&&(o=i.gullet.popToken(),o.text===" "&&(o=i.gullet.popToken())),o},To=function(i,o,s,_){var T=i.gullet.macros.get(s.text);T==null&&(s.noexpand=!0,T={tokens:[s],numArgs:0,unexpandable:!i.gullet.isExpandable(s.text)}),i.gullet.macros.set(o,T,_)};De({type:"internal",names:["\\global","\\long","\\\\globallong"],props:{numArgs:0,allowedInText:!0},handler:function(i){var o=i.parser,s=i.funcName;o.consumeSpaces();var _=o.fetch();if(Ki[_.text])return(s==="\\global"||s==="\\\\globallong")&&(_.text=Ki[_.text]),He(o.parseFunction(),"internal");throw new l("Invalid token after macro prefix",_)}}),De({type:"internal",names:["\\def","\\gdef","\\edef","\\xdef"],props:{numArgs:0,allowedInText:!0,primitive:!0},handler:function(i){var o=i.parser,s=i.funcName,_=o.gullet.popToken(),T=_.text;if(/^(?:[\\{}$&#^_]|EOF)$/.test(T))throw new l("Expected a control sequence",_);for(var I=0,B,$=[[]];o.gullet.future().text!=="{";)if(_=o.gullet.popToken(),_.text==="#"){if(o.gullet.future().text==="{"){B=o.gullet.future(),$[I].push("{");break}if(_=o.gullet.popToken(),!/^[1-9]$/.test(_.text))throw new l('Invalid argument number "'+_.text+'"');if(parseInt(_.text)!==I+1)throw new l('Argument number "'+_.text+'" out of order');I++,$.push([])}else{if(_.text==="EOF")throw new l("Expected a macro definition");$[I].push(_.text)}var V=o.gullet.consumeArg(),ne=V.tokens;return B&&ne.unshift(B),(s==="\\edef"||s==="\\xdef")&&(ne=o.gullet.expandTokens(ne),ne.reverse()),o.gullet.macros.set(T,{tokens:ne,numArgs:I,delimiters:$},s===Ki[s]),{type:"internal",mode:o.mode}}}),De({type:"internal",names:["\\let","\\\\globallet"],props:{numArgs:0,allowedInText:!0,primitive:!0},handler:function(i){var o=i.parser,s=i.funcName,_=vo(o.gullet.popToken());o.gullet.consumeSpaces();var T=Jc(o);return To(o,_,T,s==="\\\\globallet"),{type:"internal",mode:o.mode}}}),De({type:"internal",names:["\\futurelet","\\\\globalfuture"],props:{numArgs:0,allowedInText:!0,primitive:!0},handler:function(i){var o=i.parser,s=i.funcName,_=vo(o.gullet.popToken()),T=o.gullet.popToken(),I=o.gullet.popToken();return To(o,_,I,s==="\\\\globalfuture"),o.gullet.pushToken(I),o.gullet.pushToken(T),{type:"internal",mode:o.mode}}});var fr=function(i,o,s){var _=st.math[i]&&st.math[i].replace,T=Ge(_||i,o,s);if(!T)throw new Error("Unsupported symbol "+i+" and font size "+o+".");return T},Qi=function(i,o,s,_){var T=s.havingBaseStyle(o),I=_e.makeSpan(_.concat(T.sizingClasses(s)),[i],s),B=T.sizeMultiplier/s.sizeMultiplier;return I.height*=B,I.depth*=B,I.maxFontSize=T.sizeMultiplier,I},yo=function(i,o,s){var _=o.havingBaseStyle(s),T=(1-o.sizeMultiplier/_.sizeMultiplier)*o.fontMetrics().axisHeight;i.classes.push("delimcenter"),i.style.top=Oe(T),i.height-=T,i.depth+=T},eu=function(i,o,s,_,T,I){var B=_e.makeSymbol(i,"Main-Regular",T,_),$=Qi(B,o,_,I);return s&&yo($,_,o),$},tu=function(i,o,s,_){return _e.makeSymbol(i,"Size"+o+"-Regular",s,_)},Co=function(i,o,s,_,T,I){var B=tu(i,o,T,_),$=Qi(_e.makeSpan(["delimsizing","size"+o],[B],_),U.TEXT,_,I);return s&&yo($,_,U.TEXT),$},ji=function(i,o,s){var _;o==="Size1-Regular"?_="delim-size1":_="delim-size4";var T=_e.makeSpan(["delimsizinginner",_],[_e.makeSpan([],[_e.makeSymbol(i,o,s)])]);return{type:"elem",elem:T}},Xi=function(i,o,s){var _=ue["Size4-Regular"][i.charCodeAt(0)]?ue["Size4-Regular"][i.charCodeAt(0)][4]:ue["Size1-Regular"][i.charCodeAt(0)][4],T=new Gt("inner",We(i,Math.round(1e3*o))),I=new Lt([T],{width:Oe(_),height:Oe(o),style:"width:"+Oe(_),viewBox:"0 0 "+1e3*_+" "+Math.round(1e3*o),preserveAspectRatio:"xMinYMin"}),B=_e.makeSvgSpan([],[I],s);return B.height=o,B.style.height=Oe(o),B.style.width=Oe(_),{type:"elem",elem:B}},Zi=.008,Wr={type:"kern",size:-1*Zi},nu=["|","\\lvert","\\rvert","\\vert"],ru=["\\|","\\lVert","\\rVert","\\Vert"],Ro=function(i,o,s,_,T,I){var B,$,V,ne,pe="",ge=0;B=V=ne=i,$=null;var fe="Size1-Regular";i==="\\uparrow"?V=ne="\u23D0":i==="\\Uparrow"?V=ne="\u2016":i==="\\downarrow"?B=V="\u23D0":i==="\\Downarrow"?B=V="\u2016":i==="\\updownarrow"?(B="\\uparrow",V="\u23D0",ne="\\downarrow"):i==="\\Updownarrow"?(B="\\Uparrow",V="\u2016",ne="\\Downarrow"):E.contains(nu,i)?(V="\u2223",pe="vert",ge=333):E.contains(ru,i)?(V="\u2225",pe="doublevert",ge=556):i==="["||i==="\\lbrack"?(B="\u23A1",V="\u23A2",ne="\u23A3",fe="Size4-Regular",pe="lbrack",ge=667):i==="]"||i==="\\rbrack"?(B="\u23A4",V="\u23A5",ne="\u23A6",fe="Size4-Regular",pe="rbrack",ge=667):i==="\\lfloor"||i==="\u230A"?(V=B="\u23A2",ne="\u23A3",fe="Size4-Regular",pe="lfloor",ge=667):i==="\\lceil"||i==="\u2308"?(B="\u23A1",V=ne="\u23A2",fe="Size4-Regular",pe="lceil",ge=667):i==="\\rfloor"||i==="\u230B"?(V=B="\u23A5",ne="\u23A6",fe="Size4-Regular",pe="rfloor",ge=667):i==="\\rceil"||i==="\u2309"?(B="\u23A4",V=ne="\u23A5",fe="Size4-Regular",pe="rceil",ge=667):i==="("||i==="\\lparen"?(B="\u239B",V="\u239C",ne="\u239D",fe="Size4-Regular",pe="lparen",ge=875):i===")"||i==="\\rparen"?(B="\u239E",V="\u239F",ne="\u23A0",fe="Size4-Regular",pe="rparen",ge=875):i==="\\{"||i==="\\lbrace"?(B="\u23A7",$="\u23A8",ne="\u23A9",V="\u23AA",fe="Size4-Regular"):i==="\\}"||i==="\\rbrace"?(B="\u23AB",$="\u23AC",ne="\u23AD",V="\u23AA",fe="Size4-Regular"):i==="\\lgroup"||i==="\u27EE"?(B="\u23A7",ne="\u23A9",V="\u23AA",fe="Size4-Regular"):i==="\\rgroup"||i==="\u27EF"?(B="\u23AB",ne="\u23AD",V="\u23AA",fe="Size4-Regular"):i==="\\lmoustache"||i==="\u23B0"?(B="\u23A7",ne="\u23AD",V="\u23AA",fe="Size4-Regular"):(i==="\\rmoustache"||i==="\u23B1")&&(B="\u23AB",ne="\u23A9",V="\u23AA",fe="Size4-Regular");var Se=fr(B,fe,T),Re=Se.height+Se.depth,Ae=fr(V,fe,T),we=Ae.height+Ae.depth,Me=fr(ne,fe,T),Be=Me.height+Me.depth,je=0,Ve=1;if($!==null){var et=fr($,fe,T);je=et.height+et.depth,Ve=2}var Qe=Re+Be+je,tt=Math.max(0,Math.ceil((o-Qe)/(Ve*we))),lt=Qe+tt*Ve*we,ht=_.fontMetrics().axisHeight;s&&(ht*=_.sizeMultiplier);var Nt=lt/2-ht,ut=[];if(pe.length>0){var vn=lt-Re-Be,xt=Math.round(lt*1e3),vt=ot(pe,Math.round(vn*1e3)),un=new Gt(pe,vt),Bn=(ge/1e3).toFixed(3)+"em",Fn=(xt/1e3).toFixed(3)+"em",ha=new Lt([un],{width:Bn,height:Fn,viewBox:"0 0 "+ge+" "+xt}),dn=_e.makeSvgSpan([],[ha],_);dn.height=xt/1e3,dn.style.width=Bn,dn.style.height=Fn,ut.push({type:"elem",elem:dn})}else{if(ut.push(ji(ne,fe,T)),ut.push(Wr),$===null){var _n=lt-Re-Be+2*Zi;ut.push(Xi(V,_n,_))}else{var wt=(lt-Re-Be-je)/2+2*Zi;ut.push(Xi(V,wt,_)),ut.push(Wr),ut.push(ji($,fe,T)),ut.push(Wr),ut.push(Xi(V,wt,_))}ut.push(Wr),ut.push(ji(B,fe,T))}var Er=_.havingBaseStyle(U.TEXT),Ea=_e.makeVList({positionType:"bottom",positionData:Nt,children:ut},Er);return Qi(_e.makeSpan(["delimsizing","mult"],[Ea],Er),U.TEXT,_,I)},Ji=80,ea=.08,ta=function(i,o,s,_,T){var I=Ye(i,_,s),B=new Gt(i,I),$=new Lt([B],{width:"400em",height:Oe(o),viewBox:"0 0 400000 "+s,preserveAspectRatio:"xMinYMin slice"});return _e.makeSvgSpan(["hide-tail"],[$],T)},iu=function(i,o){var s=o.havingBaseSizing(),_=Io("\\surd",i*s.sizeMultiplier,Ao,s),T=s.sizeMultiplier,I=Math.max(0,o.minRuleThickness-o.fontMetrics().sqrtRuleThickness),B,$=0,V=0,ne=0,pe;return _.type==="small"?(ne=1e3+1e3*I+Ji,i<1?T=1:i<1.4&&(T=.7),$=(1+I+ea)/T,V=(1+I)/T,B=ta("sqrtMain",$,ne,I,o),B.style.minWidth="0.853em",pe=.833/T):_.type==="large"?(ne=(1e3+Ji)*gr[_.size],V=(gr[_.size]+I)/T,$=(gr[_.size]+I+ea)/T,B=ta("sqrtSize"+_.size,$,ne,I,o),B.style.minWidth="1.02em",pe=1/T):($=i+I+ea,V=i+I,ne=Math.floor(1e3*i+I)+Ji,B=ta("sqrtTall",$,ne,I,o),B.style.minWidth="0.742em",pe=1.056),B.height=V,B.style.height=Oe($),{span:B,advanceWidth:pe,ruleWidth:(o.fontMetrics().sqrtRuleThickness+I)*T}},Oo=["(","\\lparen",")","\\rparen","[","\\lbrack","]","\\rbrack","\\{","\\lbrace","\\}","\\rbrace","\\lfloor","\\rfloor","\u230A","\u230B","\\lceil","\\rceil","\u2308","\u2309","\\surd"],au=["\\uparrow","\\downarrow","\\updownarrow","\\Uparrow","\\Downarrow","\\Updownarrow","|","\\|","\\vert","\\Vert","\\lvert","\\rvert","\\lVert","\\rVert","\\lgroup","\\rgroup","\u27EE","\u27EF","\\lmoustache","\\rmoustache","\u23B0","\u23B1"],No=["<",">","\\langle","\\rangle","/","\\backslash","\\lt","\\gt"],gr=[0,1.2,1.8,2.4,3],ou=function(i,o,s,_,T){if(i==="<"||i==="\\lt"||i==="\u27E8"?i="\\langle":(i===">"||i==="\\gt"||i==="\u27E9")&&(i="\\rangle"),E.contains(Oo,i)||E.contains(No,i))return Co(i,o,!1,s,_,T);if(E.contains(au,i))return Ro(i,gr[o],!1,s,_,T);throw new l("Illegal delimiter: '"+i+"'")},su=[{type:"small",style:U.SCRIPTSCRIPT},{type:"small",style:U.SCRIPT},{type:"small",style:U.TEXT},{type:"large",size:1},{type:"large",size:2},{type:"large",size:3},{type:"large",size:4}],lu=[{type:"small",style:U.SCRIPTSCRIPT},{type:"small",style:U.SCRIPT},{type:"small",style:U.TEXT},{type:"stack"}],Ao=[{type:"small",style:U.SCRIPTSCRIPT},{type:"small",style:U.SCRIPT},{type:"small",style:U.TEXT},{type:"large",size:1},{type:"large",size:2},{type:"large",size:3},{type:"large",size:4},{type:"stack"}],cu=function(i){if(i.type==="small")return"Main-Regular";if(i.type==="large")return"Size"+i.size+"-Regular";if(i.type==="stack")return"Size4-Regular";throw new Error("Add support for delim type '"+i.type+"' here.")},Io=function(i,o,s,_){for(var T=Math.min(2,3-_.style.size),I=T;Io)return s[I]}return s[s.length-1]},Do=function(i,o,s,_,T,I){i==="<"||i==="\\lt"||i==="\u27E8"?i="\\langle":(i===">"||i==="\\gt"||i==="\u27E9")&&(i="\\rangle");var B;E.contains(No,i)?B=su:E.contains(Oo,i)?B=Ao:B=lu;var $=Io(i,o,B,_);return $.type==="small"?eu(i,$.style,s,_,T,I):$.type==="large"?Co(i,$.size,s,_,T,I):Ro(i,o,s,_,T,I)},uu=function(i,o,s,_,T,I){var B=_.fontMetrics().axisHeight*_.sizeMultiplier,$=901,V=5/_.fontMetrics().ptPerEm,ne=Math.max(o-B,s+B),pe=Math.max(ne/500*$,2*ne-V);return Do(i,pe,!0,_,T,I)},tn={sqrtImage:iu,sizedDelim:ou,sizeToMaxHeight:gr,customSizedDelim:Do,leftRightDelim:uu},xo={"\\bigl":{mclass:"mopen",size:1},"\\Bigl":{mclass:"mopen",size:2},"\\biggl":{mclass:"mopen",size:3},"\\Biggl":{mclass:"mopen",size:4},"\\bigr":{mclass:"mclose",size:1},"\\Bigr":{mclass:"mclose",size:2},"\\biggr":{mclass:"mclose",size:3},"\\Biggr":{mclass:"mclose",size:4},"\\bigm":{mclass:"mrel",size:1},"\\Bigm":{mclass:"mrel",size:2},"\\biggm":{mclass:"mrel",size:3},"\\Biggm":{mclass:"mrel",size:4},"\\big":{mclass:"mord",size:1},"\\Big":{mclass:"mord",size:2},"\\bigg":{mclass:"mord",size:3},"\\Bigg":{mclass:"mord",size:4}},du=["(","\\lparen",")","\\rparen","[","\\lbrack","]","\\rbrack","\\{","\\lbrace","\\}","\\rbrace","\\lfloor","\\rfloor","\u230A","\u230B","\\lceil","\\rceil","\u2308","\u2309","<",">","\\langle","\u27E8","\\rangle","\u27E9","\\lt","\\gt","\\lvert","\\rvert","\\lVert","\\rVert","\\lgroup","\\rgroup","\u27EE","\u27EF","\\lmoustache","\\rmoustache","\u23B0","\u23B1","/","\\backslash","|","\\vert","\\|","\\Vert","\\uparrow","\\Uparrow","\\downarrow","\\Downarrow","\\updownarrow","\\Updownarrow","."];function Kr(N,i){var o=$r(N);if(o&&E.contains(du,o.text))return o;throw o?new l("Invalid delimiter '"+o.text+"' after '"+i.funcName+"'",N):new l("Invalid delimiter type '"+N.type+"'",N)}De({type:"delimsizing",names:["\\bigl","\\Bigl","\\biggl","\\Biggl","\\bigr","\\Bigr","\\biggr","\\Biggr","\\bigm","\\Bigm","\\biggm","\\Biggm","\\big","\\Big","\\bigg","\\Bigg"],props:{numArgs:1,argTypes:["primitive"]},handler:function(i,o){var s=Kr(o[0],i);return{type:"delimsizing",mode:i.parser.mode,size:xo[i.funcName].size,mclass:xo[i.funcName].mclass,delim:s.text}},htmlBuilder:function(i,o){return i.delim==="."?_e.makeSpan([i.mclass]):tn.sizedDelim(i.delim,i.size,o,i.mode,[i.mclass])},mathmlBuilder:function(i){var o=[];i.delim!=="."&&o.push(Dt(i.delim,i.mode));var s=new ve.MathNode("mo",o);i.mclass==="mopen"||i.mclass==="mclose"?s.setAttribute("fence","true"):s.setAttribute("fence","false"),s.setAttribute("stretchy","true");var _=Oe(tn.sizeToMaxHeight[i.size]);return s.setAttribute("minsize",_),s.setAttribute("maxsize",_),s}});function wo(N){if(!N.body)throw new Error("Bug: The leftright ParseNode wasn't fully parsed.")}De({type:"leftright-right",names:["\\right"],props:{numArgs:1,primitive:!0},handler:function(i,o){var s=i.parser.gullet.macros.get("\\current@color");if(s&&typeof s!="string")throw new l("\\current@color set to non-string in \\right");return{type:"leftright-right",mode:i.parser.mode,delim:Kr(o[0],i).text,color:s}}}),De({type:"leftright",names:["\\left"],props:{numArgs:1,primitive:!0},handler:function(i,o){var s=Kr(o[0],i),_=i.parser;++_.leftrightDepth;var T=_.parseExpression(!1);--_.leftrightDepth,_.expect("\\right",!1);var I=He(_.parseFunction(),"leftright-right");return{type:"leftright",mode:_.mode,body:T,left:s.text,right:I.delim,rightColor:I.color}},htmlBuilder:function(i,o){wo(i);for(var s=ft(i.body,o,!0,["mopen","mclose"]),_=0,T=0,I=!1,B=0;B-1?"mpadded":"menclose",[rt(i.body,o)]);switch(i.label){case"\\cancel":_.setAttribute("notation","updiagonalstrike");break;case"\\bcancel":_.setAttribute("notation","downdiagonalstrike");break;case"\\phase":_.setAttribute("notation","phasorangle");break;case"\\sout":_.setAttribute("notation","horizontalstrike");break;case"\\fbox":_.setAttribute("notation","box");break;case"\\angl":_.setAttribute("notation","actuarial");break;case"\\fcolorbox":case"\\colorbox":if(s=o.fontMetrics().fboxsep*o.fontMetrics().ptPerEm,_.setAttribute("width","+"+2*s+"pt"),_.setAttribute("height","+"+2*s+"pt"),_.setAttribute("lspace",s+"pt"),_.setAttribute("voffset",s+"pt"),i.label==="\\fcolorbox"){var T=Math.max(o.fontMetrics().fboxrule,o.minRuleThickness);_.setAttribute("style","border: "+T+"em solid "+String(i.borderColor))}break;case"\\xcancel":_.setAttribute("notation","updiagonalstrike downdiagonalstrike");break}return i.backgroundColor&&_.setAttribute("mathbackground",i.backgroundColor),_};De({type:"enclose",names:["\\colorbox"],props:{numArgs:2,allowedInText:!0,argTypes:["color","text"]},handler:function(i,o,s){var _=i.parser,T=i.funcName,I=He(o[0],"color-token").color,B=o[1];return{type:"enclose",mode:_.mode,label:T,backgroundColor:I,body:B}},htmlBuilder:na,mathmlBuilder:ra}),De({type:"enclose",names:["\\fcolorbox"],props:{numArgs:3,allowedInText:!0,argTypes:["color","color","text"]},handler:function(i,o,s){var _=i.parser,T=i.funcName,I=He(o[0],"color-token").color,B=He(o[1],"color-token").color,$=o[2];return{type:"enclose",mode:_.mode,label:T,backgroundColor:B,borderColor:I,body:$}},htmlBuilder:na,mathmlBuilder:ra}),De({type:"enclose",names:["\\fbox"],props:{numArgs:1,argTypes:["hbox"],allowedInText:!0},handler:function(i,o){var s=i.parser;return{type:"enclose",mode:s.mode,label:"\\fbox",body:o[0]}}}),De({type:"enclose",names:["\\cancel","\\bcancel","\\xcancel","\\sout","\\phase"],props:{numArgs:1},handler:function(i,o){var s=i.parser,_=i.funcName,T=o[0];return{type:"enclose",mode:s.mode,label:_,body:T}},htmlBuilder:na,mathmlBuilder:ra}),De({type:"enclose",names:["\\angl"],props:{numArgs:1,argTypes:["hbox"],allowedInText:!1},handler:function(i,o){var s=i.parser;return{type:"enclose",mode:s.mode,label:"\\angl",body:o[0]}}});var Mo={};function Yt(N){for(var i=N.type,o=N.names,s=N.props,_=N.handler,T=N.htmlBuilder,I=N.mathmlBuilder,B={type:i,numArgs:s.numArgs||0,allowedInText:!1,numOptionalArgs:0,handler:_},$=0;$1||!ne)&&Re.pop(),we.length0&&(Be+=.25),V.push({pos:Be,isDashed:Jr[ei]})}for(je(I[0]),s=0;s0&&(Nt+=Me,Qe=B)){var Gn=void 0;(_>0||i.hskipBeforeAndAfter)&&(Gn=E.deflt(wt.pregap,ge),Gn!==0&&(vt=_e.makeSpan(["arraycolsep"],[]),vt.style.width=Oe(Gn),xt.push(vt)));var qn=[];for(s=0;s0){for(var Uu=_e.makeLineSpan("hline",o,ne),Gu=_e.makeLineSpan("hdashline",o,ne),Sa=[{type:"elem",elem:$,shift:0}];V.length>0;){var hs=V.pop(),Es=hs.pos-ut;hs.isDashed?Sa.push({type:"elem",elem:Gu,shift:Es}):Sa.push({type:"elem",elem:Uu,shift:Es})}$=_e.makeVList({positionType:"individualShift",children:Sa},o)}if(Bn.length===0)return _e.makeSpan(["mord"],[$],o);var ba=_e.makeVList({positionType:"individualShift",children:Bn},o);return ba=_e.makeSpan(["tag"],[ba],o),_e.makeFragment([$,ba])},_u={c:"center ",l:"left ",r:"right "},$t=function(i,o){for(var s=[],_=new ve.MathNode("mtd",[],["mtr-glue"]),T=new ve.MathNode("mtd",[],["mml-eqn-num"]),I=0;I0){var Se=i.cols,Re="",Ae=!1,we=0,Me=Se.length;Se[0].type==="separator"&&(ge+="top ",we=1),Se[Se.length-1].type==="separator"&&(ge+="bottom ",Me-=1);for(var Be=we;Be0?"left ":"",ge+=tt[tt.length-1].length>0?"right ":"";for(var lt=1;lt-1?"alignat":"align",T=i.envName==="split",I=ln(i.parser,{cols:s,addJot:!0,autoTag:T?void 0:ia(i.envName),emptySingleRow:!0,colSeparationType:_,maxNumCols:T?2:void 0,leqno:i.parser.settings.leqno},"display"),B,$=0,V={type:"ordgroup",mode:i.mode,body:[]};if(o[0]&&o[0].type==="ordgroup"){for(var ne="",pe=0;pe0&&fe&&(Ae=1),s[Se]={type:"align",align:Re,pregap:Ae,postgap:0}}return I.colSeparationType=fe?"align":"alignat",I};Yt({type:"array",names:["array","darray"],props:{numArgs:1},handler:function(i,o){var s=$r(o[0]),_=s?[o[0]]:He(o[0],"ordgroup").body,T=_.map(function(B){var $=Vi(B),V=$.text;if("lcr".indexOf(V)!==-1)return{type:"align",align:V};if(V==="|")return{type:"separator",separator:"|"};if(V===":")return{type:"separator",separator:":"};throw new l("Unknown column alignment: "+V,B)}),I={cols:T,hskipBeforeAndAfter:!0,maxNumCols:T.length};return ln(i.parser,I,aa(i.envName))},htmlBuilder:zt,mathmlBuilder:$t}),Yt({type:"array",names:["matrix","pmatrix","bmatrix","Bmatrix","vmatrix","Vmatrix","matrix*","pmatrix*","bmatrix*","Bmatrix*","vmatrix*","Vmatrix*"],props:{numArgs:0},handler:function(i){var o={matrix:null,pmatrix:["(",")"],bmatrix:["[","]"],Bmatrix:["\\{","\\}"],vmatrix:["|","|"],Vmatrix:["\\Vert","\\Vert"]}[i.envName.replace("*","")],s="c",_={hskipBeforeAndAfter:!1,cols:[{type:"align",align:s}]};if(i.envName.charAt(i.envName.length-1)==="*"){var T=i.parser;if(T.consumeSpaces(),T.fetch().text==="["){if(T.consume(),T.consumeSpaces(),s=T.fetch().text,"lcr".indexOf(s)===-1)throw new l("Expected l or c or r",T.nextToken);T.consume(),T.consumeSpaces(),T.expect("]"),T.consume(),_.cols=[{type:"align",align:s}]}}var I=ln(i.parser,_,aa(i.envName)),B=Math.max.apply(Math,[0].concat(I.body.map(function($){return $.length})));return I.cols=new Array(B).fill({type:"align",align:s}),o?{type:"leftright",mode:i.mode,body:[I],left:o[0],right:o[1],rightColor:void 0}:I},htmlBuilder:zt,mathmlBuilder:$t}),Yt({type:"array",names:["smallmatrix"],props:{numArgs:0},handler:function(i){var o={arraystretch:.5},s=ln(i.parser,o,"script");return s.colSeparationType="small",s},htmlBuilder:zt,mathmlBuilder:$t}),Yt({type:"array",names:["subarray"],props:{numArgs:1},handler:function(i,o){var s=$r(o[0]),_=s?[o[0]]:He(o[0],"ordgroup").body,T=_.map(function(B){var $=Vi(B),V=$.text;if("lc".indexOf(V)!==-1)return{type:"align",align:V};throw new l("Unknown column alignment: "+V,B)});if(T.length>1)throw new l("{subarray} can contain only one column");var I={cols:T,hskipBeforeAndAfter:!1,arraystretch:.5};if(I=ln(i.parser,I,"script"),I.body.length>0&&I.body[0].length>1)throw new l("{subarray} can contain only one column");return I},htmlBuilder:zt,mathmlBuilder:$t}),Yt({type:"array",names:["cases","dcases","rcases","drcases"],props:{numArgs:0},handler:function(i){var o={arraystretch:1.2,cols:[{type:"align",align:"l",pregap:0,postgap:1},{type:"align",align:"l",pregap:0,postgap:0}]},s=ln(i.parser,o,aa(i.envName));return{type:"leftright",mode:i.mode,body:[s],left:i.envName.indexOf("r")>-1?".":"\\{",right:i.envName.indexOf("r")>-1?"\\}":".",rightColor:void 0}},htmlBuilder:zt,mathmlBuilder:$t}),Yt({type:"array",names:["align","align*","aligned","split"],props:{numArgs:0},handler:Po,htmlBuilder:zt,mathmlBuilder:$t}),Yt({type:"array",names:["gathered","gather","gather*"],props:{numArgs:0},handler:function(i){E.contains(["gather","gather*"],i.envName)&&Qr(i);var o={cols:[{type:"align",align:"c"}],addJot:!0,colSeparationType:"gather",autoTag:ia(i.envName),emptySingleRow:!0,leqno:i.parser.settings.leqno};return ln(i.parser,o,"display")},htmlBuilder:zt,mathmlBuilder:$t}),Yt({type:"array",names:["alignat","alignat*","alignedat"],props:{numArgs:1},handler:Po,htmlBuilder:zt,mathmlBuilder:$t}),Yt({type:"array",names:["equation","equation*"],props:{numArgs:0},handler:function(i){Qr(i);var o={autoTag:ia(i.envName),emptySingleRow:!0,singleRow:!0,maxNumCols:1,leqno:i.parser.settings.leqno};return ln(i.parser,o,"display")},htmlBuilder:zt,mathmlBuilder:$t}),Yt({type:"array",names:["CD"],props:{numArgs:0},handler:function(i){return Qr(i),Zc(i.parser)},htmlBuilder:zt,mathmlBuilder:$t}),H("\\nonumber","\\gdef\\@eqnsw{0}"),H("\\notag","\\nonumber"),De({type:"text",names:["\\hline","\\hdashline"],props:{numArgs:0,allowedInText:!0,allowedInMath:!0},handler:function(i,o){throw new l(i.funcName+" valid only within array environment")}});var pu=Mo,Bo=pu;De({type:"environment",names:["\\begin","\\end"],props:{numArgs:1,argTypes:["text"]},handler:function(i,o){var s=i.parser,_=i.funcName,T=o[0];if(T.type!=="ordgroup")throw new l("Invalid environment name",T);for(var I="",B=0;B=U.SCRIPT.id?s.text():U.DISPLAY:i==="text"&&s.size===U.DISPLAY.size?s=U.TEXT:i==="script"?s=U.SCRIPT:i==="scriptscript"&&(s=U.SCRIPTSCRIPT),s},oa=function(i,o){var s=qo(i.size,o.style),_=s.fracNum(),T=s.fracDen(),I;I=o.havingStyle(_);var B=Ke(i.numer,I,o);if(i.continued){var $=8.5/o.fontMetrics().ptPerEm,V=3.5/o.fontMetrics().ptPerEm;B.height=B.height<$?$:B.height,B.depth=B.depth0?Re=3*fe:Re=7*fe,Ae=o.fontMetrics().denom1):(ge>0?(Se=o.fontMetrics().num2,Re=fe):(Se=o.fontMetrics().num3,Re=3*fe),Ae=o.fontMetrics().denom2);var we;if(pe){var Be=o.fontMetrics().axisHeight;Se-B.depth-(Be+.5*ge)0&&(o=i,o=o==="."?null:o),o};De({type:"genfrac",names:["\\genfrac"],props:{numArgs:6,allowedInArgument:!0,argTypes:["math","math","size","text","math","math"]},handler:function(i,o){var s=i.parser,_=o[4],T=o[5],I=Yr(o[0]),B=I.type==="atom"&&I.family==="open"?zo(I.text):null,$=Yr(o[1]),V=$.type==="atom"&&$.family==="close"?zo($.text):null,ne=He(o[2],"size"),pe,ge=null;ne.isBlank?pe=!0:(ge=ne.value,pe=ge.number>0);var fe="auto",Se=o[3];if(Se.type==="ordgroup"){if(Se.body.length>0){var Re=He(Se.body[0],"textord");fe=Yo[Number(Re.text)]}}else Se=He(Se,"textord"),fe=Yo[Number(Se.text)];return{type:"genfrac",mode:s.mode,numer:_,denom:T,continued:!1,hasBarLine:pe,barSize:ge,leftDelim:B,rightDelim:V,size:fe}},htmlBuilder:oa,mathmlBuilder:sa}),De({type:"infix",names:["\\above"],props:{numArgs:1,argTypes:["size"],infix:!0},handler:function(i,o){var s=i.parser;i.funcName;var _=i.token;return{type:"infix",mode:s.mode,replaceWith:"\\\\abovefrac",size:He(o[0],"size").value,token:_}}}),De({type:"genfrac",names:["\\\\abovefrac"],props:{numArgs:3,argTypes:["math","size","math"]},handler:function(i,o){var s=i.parser;i.funcName;var _=o[0],T=b(He(o[1],"infix").size),I=o[2],B=T.number>0;return{type:"genfrac",mode:s.mode,numer:_,denom:I,continued:!1,hasBarLine:B,barSize:T,leftDelim:null,rightDelim:null,size:"auto"}},htmlBuilder:oa,mathmlBuilder:sa});var $o=function(i,o){var s=o.style,_,T;i.type==="supsub"?(_=i.sup?Ke(i.sup,o.havingStyle(s.sup()),o):Ke(i.sub,o.havingStyle(s.sub()),o),T=He(i.base,"horizBrace")):T=He(i,"horizBrace");var I=Ke(T.base,o.havingBaseStyle(U.DISPLAY)),B=en.svgSpan(T,o),$;if(T.isOver?($=_e.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:I},{type:"kern",size:.1},{type:"elem",elem:B}]},o),$.children[0].children[0].children[1].classes.push("svg-align")):($=_e.makeVList({positionType:"bottom",positionData:I.depth+.1+B.height,children:[{type:"elem",elem:B},{type:"kern",size:.1},{type:"elem",elem:I}]},o),$.children[0].children[0].children[0].classes.push("svg-align")),_){var V=_e.makeSpan(["mord",T.isOver?"mover":"munder"],[$],o);T.isOver?$=_e.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:V},{type:"kern",size:.2},{type:"elem",elem:_}]},o):$=_e.makeVList({positionType:"bottom",positionData:V.depth+.2+_.height+_.depth,children:[{type:"elem",elem:_},{type:"kern",size:.2},{type:"elem",elem:V}]},o)}return _e.makeSpan(["mord",T.isOver?"mover":"munder"],[$],o)},mu=function(i,o){var s=en.mathMLnode(i.label);return new ve.MathNode(i.isOver?"mover":"munder",[rt(i.base,o),s])};De({type:"horizBrace",names:["\\overbrace","\\underbrace"],props:{numArgs:1},handler:function(i,o){var s=i.parser,_=i.funcName;return{type:"horizBrace",mode:s.mode,label:_,isOver:/^\\over/.test(_),base:o[0]}},htmlBuilder:$o,mathmlBuilder:mu}),De({type:"href",names:["\\href"],props:{numArgs:2,argTypes:["url","original"],allowedInText:!0},handler:function(i,o){var s=i.parser,_=o[1],T=He(o[0],"url").url;return s.settings.isTrusted({command:"\\href",url:T})?{type:"href",mode:s.mode,href:T,body:pt(_)}:s.formatUnsupportedCmd("\\href")},htmlBuilder:function(i,o){var s=ft(i.body,o,!1);return _e.makeAnchor(i.href,[],s,o)},mathmlBuilder:function(i,o){var s=on(i.body,o);return s instanceof It||(s=new It("mrow",[s])),s.setAttribute("href",i.href),s}}),De({type:"href",names:["\\url"],props:{numArgs:1,argTypes:["url"],allowedInText:!0},handler:function(i,o){var s=i.parser,_=He(o[0],"url").url;if(!s.settings.isTrusted({command:"\\url",url:_}))return s.formatUnsupportedCmd("\\url");for(var T=[],I=0;I<_.length;I++){var B=_[I];B==="~"&&(B="\\textasciitilde"),T.push({type:"textord",mode:"text",text:B})}var $={type:"text",mode:s.mode,font:"\\texttt",body:T};return{type:"href",mode:s.mode,href:_,body:pt($)}}}),De({type:"hbox",names:["\\hbox"],props:{numArgs:1,argTypes:["text"],allowedInText:!0,primitive:!0},handler:function(i,o){var s=i.parser;return{type:"hbox",mode:s.mode,body:pt(o[0])}},htmlBuilder:function(i,o){var s=ft(i.body,o,!1);return _e.makeFragment(s)},mathmlBuilder:function(i,o){return new ve.MathNode("mrow",yt(i.body,o))}}),De({type:"html",names:["\\htmlClass","\\htmlId","\\htmlStyle","\\htmlData"],props:{numArgs:2,argTypes:["raw","original"],allowedInText:!0},handler:function(i,o){var s=i.parser,_=i.funcName;i.token;var T=He(o[0],"raw").string,I=o[1];s.settings.strict&&s.settings.reportNonstrict("htmlExtension","HTML extension is disabled on strict mode");var B,$={};switch(_){case"\\htmlClass":$.class=T,B={command:"\\htmlClass",class:T};break;case"\\htmlId":$.id=T,B={command:"\\htmlId",id:T};break;case"\\htmlStyle":$.style=T,B={command:"\\htmlStyle",style:T};break;case"\\htmlData":{for(var V=T.split(","),ne=0;ne0&&(_=nt(i.totalheight,o)-s);var T=0;i.width.number>0&&(T=nt(i.width,o));var I={height:Oe(s+_)};T>0&&(I.width=Oe(T)),_>0&&(I.verticalAlign=Oe(-_));var B=new Di(i.src,i.alt,I);return B.height=s,B.depth=_,B},mathmlBuilder:function(i,o){var s=new ve.MathNode("mglyph",[]);s.setAttribute("alt",i.alt);var _=nt(i.height,o),T=0;if(i.totalheight.number>0&&(T=nt(i.totalheight,o)-_,s.setAttribute("valign",Oe(-T))),s.setAttribute("height",Oe(_+T)),i.width.number>0){var I=nt(i.width,o);s.setAttribute("width",Oe(I))}return s.setAttribute("src",i.src),s}}),De({type:"kern",names:["\\kern","\\mkern","\\hskip","\\mskip"],props:{numArgs:1,argTypes:["size"],primitive:!0,allowedInText:!0},handler:function(i,o){var s=i.parser,_=i.funcName,T=He(o[0],"size");if(s.settings.strict){var I=_[1]==="m",B=T.value.unit==="mu";I?(B||s.settings.reportNonstrict("mathVsTextUnits","LaTeX's "+_+" supports only mu units, "+("not "+T.value.unit+" units")),s.mode!=="math"&&s.settings.reportNonstrict("mathVsTextUnits","LaTeX's "+_+" works only in math mode")):B&&s.settings.reportNonstrict("mathVsTextUnits","LaTeX's "+_+" doesn't support mu units")}return{type:"kern",mode:s.mode,dimension:T.value}},htmlBuilder:function(i,o){return _e.makeGlue(i.dimension,o)},mathmlBuilder:function(i,o){var s=nt(i.dimension,o);return new ve.SpaceNode(s)}}),De({type:"lap",names:["\\mathllap","\\mathrlap","\\mathclap"],props:{numArgs:1,allowedInText:!0},handler:function(i,o){var s=i.parser,_=i.funcName,T=o[0];return{type:"lap",mode:s.mode,alignment:_.slice(5),body:T}},htmlBuilder:function(i,o){var s;i.alignment==="clap"?(s=_e.makeSpan([],[Ke(i.body,o)]),s=_e.makeSpan(["inner"],[s],o)):s=_e.makeSpan(["inner"],[Ke(i.body,o)]);var _=_e.makeSpan(["fix"],[]),T=_e.makeSpan([i.alignment],[s,_],o),I=_e.makeSpan(["strut"]);return I.style.height=Oe(T.height+T.depth),T.depth&&(I.style.verticalAlign=Oe(-T.depth)),T.children.unshift(I),T=_e.makeSpan(["thinbox"],[T],o),_e.makeSpan(["mord","vbox"],[T],o)},mathmlBuilder:function(i,o){var s=new ve.MathNode("mpadded",[rt(i.body,o)]);if(i.alignment!=="rlap"){var _=i.alignment==="llap"?"-1":"-0.5";s.setAttribute("lspace",_+"width")}return s.setAttribute("width","0px"),s}}),De({type:"styling",names:["\\(","$"],props:{numArgs:0,allowedInText:!0,allowedInMath:!1},handler:function(i,o){var s=i.funcName,_=i.parser,T=_.mode;_.switchMode("math");var I=s==="\\("?"\\)":"$",B=_.parseExpression(!1,I);return _.expect(I),_.switchMode(T),{type:"styling",mode:_.mode,style:"text",body:B}}}),De({type:"text",names:["\\)","\\]"],props:{numArgs:0,allowedInText:!0,allowedInMath:!1},handler:function(i,o){throw new l("Mismatched "+i.funcName)}});var Ho=function(i,o){switch(o.style.size){case U.DISPLAY.size:return i.display;case U.TEXT.size:return i.text;case U.SCRIPT.size:return i.script;case U.SCRIPTSCRIPT.size:return i.scriptscript;default:return i.text}};De({type:"mathchoice",names:["\\mathchoice"],props:{numArgs:4,primitive:!0},handler:function(i,o){var s=i.parser;return{type:"mathchoice",mode:s.mode,display:pt(o[0]),text:pt(o[1]),script:pt(o[2]),scriptscript:pt(o[3])}},htmlBuilder:function(i,o){var s=Ho(i,o),_=ft(s,o,!1);return _e.makeFragment(_)},mathmlBuilder:function(i,o){var s=Ho(i,o);return on(s,o)}});var Vo=function(i,o,s,_,T,I,B){i=_e.makeSpan([],[i]);var $=s&&E.isCharacterBox(s),V,ne;if(o){var pe=Ke(o,_.havingStyle(T.sup()),_);ne={elem:pe,kern:Math.max(_.fontMetrics().bigOpSpacing1,_.fontMetrics().bigOpSpacing3-pe.depth)}}if(s){var ge=Ke(s,_.havingStyle(T.sub()),_);V={elem:ge,kern:Math.max(_.fontMetrics().bigOpSpacing2,_.fontMetrics().bigOpSpacing4-ge.height)}}var fe;if(ne&&V){var Se=_.fontMetrics().bigOpSpacing5+V.elem.height+V.elem.depth+V.kern+i.depth+B;fe=_e.makeVList({positionType:"bottom",positionData:Se,children:[{type:"kern",size:_.fontMetrics().bigOpSpacing5},{type:"elem",elem:V.elem,marginLeft:Oe(-I)},{type:"kern",size:V.kern},{type:"elem",elem:i},{type:"kern",size:ne.kern},{type:"elem",elem:ne.elem,marginLeft:Oe(I)},{type:"kern",size:_.fontMetrics().bigOpSpacing5}]},_)}else if(V){var Re=i.height-B;fe=_e.makeVList({positionType:"top",positionData:Re,children:[{type:"kern",size:_.fontMetrics().bigOpSpacing5},{type:"elem",elem:V.elem,marginLeft:Oe(-I)},{type:"kern",size:V.kern},{type:"elem",elem:i}]},_)}else if(ne){var Ae=i.depth+B;fe=_e.makeVList({positionType:"bottom",positionData:Ae,children:[{type:"elem",elem:i},{type:"kern",size:ne.kern},{type:"elem",elem:ne.elem,marginLeft:Oe(I)},{type:"kern",size:_.fontMetrics().bigOpSpacing5}]},_)}else return i;var we=[fe];if(V&&I!==0&&!$){var Me=_e.makeSpan(["mspace"],[],_);Me.style.marginRight=Oe(I),we.unshift(Me)}return _e.makeSpan(["mop","op-limits"],we,_)},Wo=["\\smallint"],Pn=function(i,o){var s,_,T=!1,I;i.type==="supsub"?(s=i.sup,_=i.sub,I=He(i.base,"op"),T=!0):I=He(i,"op");var B=o.style,$=!1;B.size===U.DISPLAY.size&&I.symbol&&!E.contains(Wo,I.name)&&($=!0);var V;if(I.symbol){var ne=$?"Size2-Regular":"Size1-Regular",pe="";if((I.name==="\\oiint"||I.name==="\\oiiint")&&(pe=I.name.slice(1),I.name=pe==="oiint"?"\\iint":"\\iiint"),V=_e.makeSymbol(I.name,ne,"math",o,["mop","op-symbol",$?"large-op":"small-op"]),pe.length>0){var ge=V.italic,fe=_e.staticSvg(pe+"Size"+($?"2":"1"),o);V=_e.makeVList({positionType:"individualShift",children:[{type:"elem",elem:V,shift:0},{type:"elem",elem:fe,shift:$?.08:0}]},o),I.name="\\"+pe,V.classes.unshift("mop"),V.italic=ge}}else if(I.body){var Se=ft(I.body,o,!0);Se.length===1&&Se[0]instanceof Tt?(V=Se[0],V.classes[0]="mop"):V=_e.makeSpan(["mop"],Se,o)}else{for(var Re=[],Ae=1;Ae0){for(var $=I.body.map(function(ge){var fe=ge.text;return typeof fe=="string"?{type:"textord",mode:ge.mode,text:fe}:ge}),V=ft($,o.withFont("mathrm"),!0),ne=0;ne=0?$.setAttribute("height",Oe(T)):($.setAttribute("height",Oe(T)),$.setAttribute("depth",Oe(-T))),$.setAttribute("voffset",Oe(T)),$}});function Qo(N,i,o){for(var s=ft(N,i,!1),_=i.sizeMultiplier/o.sizeMultiplier,T=0;Ts.height+s.depth+B&&(B=(B+fe-s.height-s.depth)/2);var Se=ne.height-s.height-B-pe;s.style.paddingLeft=Oe(ge);var Re=_e.makeVList({positionType:"firstBaseline",children:[{type:"elem",elem:s,wrapperClasses:["svg-align"]},{type:"kern",size:-(s.height+Se)},{type:"elem",elem:ne},{type:"kern",size:pe}]},o);if(i.index){var Ae=o.havingStyle(U.SCRIPTSCRIPT),we=Ke(i.index,Ae,o),Me=.6*(Re.height-Re.depth),Be=_e.makeVList({positionType:"shift",positionData:-Me,children:[{type:"elem",elem:we}]},o),je=_e.makeSpan(["root"],[Be]);return _e.makeSpan(["mord","sqrt"],[je,Re],o)}else return _e.makeSpan(["mord","sqrt"],[Re],o)},mathmlBuilder:function(i,o){var s=i.body,_=i.index;return _?new ve.MathNode("mroot",[rt(s,o),rt(_,o)]):new ve.MathNode("msqrt",[rt(s,o)])}});var Xo={display:U.DISPLAY,text:U.TEXT,script:U.SCRIPT,scriptscript:U.SCRIPTSCRIPT};De({type:"styling",names:["\\displaystyle","\\textstyle","\\scriptstyle","\\scriptscriptstyle"],props:{numArgs:0,allowedInText:!0,primitive:!0},handler:function(i,o){var s=i.breakOnTokenText,_=i.funcName,T=i.parser,I=T.parseExpression(!0,s),B=_.slice(1,_.length-5);return{type:"styling",mode:T.mode,style:B,body:I}},htmlBuilder:function(i,o){var s=Xo[i.style],_=o.havingStyle(s).withFont("");return Qo(i.body,_,o)},mathmlBuilder:function(i,o){var s=Xo[i.style],_=o.havingStyle(s),T=yt(i.body,_),I=new ve.MathNode("mstyle",T),B={display:["0","true"],text:["0","false"],script:["1","false"],scriptscript:["2","false"]},$=B[i.style];return I.setAttribute("scriptlevel",$[0]),I.setAttribute("displaystyle",$[1]),I}});var Su=function(i,o){var s=i.base;if(s)if(s.type==="op"){var _=s.limits&&(o.style.size===U.DISPLAY.size||s.alwaysHandleSupSub);return _?Pn:null}else if(s.type==="operatorname"){var T=s.alwaysHandleSupSub&&(o.style.size===U.DISPLAY.size||s.limits);return T?Ko:null}else{if(s.type==="accent")return E.isCharacterBox(s.base)?Wi:null;if(s.type==="horizBrace"){var I=!i.sub;return I===s.isOver?$o:null}else return null}else return null};bn({type:"supsub",htmlBuilder:function(i,o){var s=Su(i,o);if(s)return s(i,o);var _=i.base,T=i.sup,I=i.sub,B=Ke(_,o),$,V,ne=o.fontMetrics(),pe=0,ge=0,fe=_&&E.isCharacterBox(_);if(T){var Se=o.havingStyle(o.style.sup());$=Ke(T,Se,o),fe||(pe=B.height-Se.fontMetrics().supDrop*Se.sizeMultiplier/o.sizeMultiplier)}if(I){var Re=o.havingStyle(o.style.sub());V=Ke(I,Re,o),fe||(ge=B.depth+Re.fontMetrics().subDrop*Re.sizeMultiplier/o.sizeMultiplier)}var Ae;o.style===U.DISPLAY?Ae=ne.sup1:o.style.cramped?Ae=ne.sup3:Ae=ne.sup2;var we=o.sizeMultiplier,Me=Oe(.5/ne.ptPerEm/we),Be=null;if(V){var je=i.base&&i.base.type==="op"&&i.base.name&&(i.base.name==="\\oiint"||i.base.name==="\\oiiint");(B instanceof Tt||je)&&(Be=Oe(-B.italic))}var Ve;if($&&V){pe=Math.max(pe,Ae,$.depth+.25*ne.xHeight),ge=Math.max(ge,ne.sub2);var et=ne.defaultRuleThickness,Qe=4*et;if(pe-$.depth-(V.height-ge)0&&(pe+=tt,ge-=tt)}var lt=[{type:"elem",elem:V,shift:ge,marginRight:Me,marginLeft:Be},{type:"elem",elem:$,shift:-pe,marginRight:Me}];Ve=_e.makeVList({positionType:"individualShift",children:lt},o)}else if(V){ge=Math.max(ge,ne.sub1,V.height-.8*ne.xHeight);var ht=[{type:"elem",elem:V,marginLeft:Be,marginRight:Me}];Ve=_e.makeVList({positionType:"shift",positionData:ge,children:ht},o)}else if($)pe=Math.max(pe,Ae,$.depth+.25*ne.xHeight),Ve=_e.makeVList({positionType:"shift",positionData:-pe,children:[{type:"elem",elem:$,marginRight:Me}]},o);else throw new Error("supsub must have either sup or sub.");var Nt=Yi(B,"right")||"mord";return _e.makeSpan([Nt],[B,_e.makeSpan(["msupsub"],[Ve])],o)},mathmlBuilder:function(i,o){var s=!1,_,T;i.base&&i.base.type==="horizBrace"&&(T=!!i.sup,T===i.base.isOver&&(s=!0,_=i.base.isOver)),i.base&&(i.base.type==="op"||i.base.type==="operatorname")&&(i.base.parentIsSupSub=!0);var I=[rt(i.base,o)];i.sub&&I.push(rt(i.sub,o)),i.sup&&I.push(rt(i.sup,o));var B;if(s)B=_?"mover":"munder";else if(i.sub)if(i.sup){var ne=i.base;ne&&ne.type==="op"&&ne.limits&&o.style===U.DISPLAY||ne&&ne.type==="operatorname"&&ne.alwaysHandleSupSub&&(o.style===U.DISPLAY||ne.limits)?B="munderover":B="msubsup"}else{var V=i.base;V&&V.type==="op"&&V.limits&&(o.style===U.DISPLAY||V.alwaysHandleSupSub)||V&&V.type==="operatorname"&&V.alwaysHandleSupSub&&(V.limits||o.style===U.DISPLAY)?B="munder":B="msub"}else{var $=i.base;$&&$.type==="op"&&$.limits&&(o.style===U.DISPLAY||$.alwaysHandleSupSub)||$&&$.type==="operatorname"&&$.alwaysHandleSupSub&&($.limits||o.style===U.DISPLAY)?B="mover":B="msup"}return new ve.MathNode(B,I)}}),bn({type:"atom",htmlBuilder:function(i,o){return _e.mathsym(i.text,i.mode,o,["m"+i.family])},mathmlBuilder:function(i,o){var s=new ve.MathNode("mo",[Dt(i.text,i.mode)]);if(i.family==="bin"){var _=Hi(i,o);_==="bold-italic"&&s.setAttribute("mathvariant",_)}else i.family==="punct"?s.setAttribute("separator","true"):(i.family==="open"||i.family==="close")&&s.setAttribute("stretchy","false");return s}});var Zo={mi:"italic",mn:"normal",mtext:"normal"};bn({type:"mathord",htmlBuilder:function(i,o){return _e.makeOrd(i,o,"mathord")},mathmlBuilder:function(i,o){var s=new ve.MathNode("mi",[Dt(i.text,i.mode,o)]),_=Hi(i,o)||"italic";return _!==Zo[s.type]&&s.setAttribute("mathvariant",_),s}}),bn({type:"textord",htmlBuilder:function(i,o){return _e.makeOrd(i,o,"textord")},mathmlBuilder:function(i,o){var s=Dt(i.text,i.mode,o),_=Hi(i,o)||"normal",T;return i.mode==="text"?T=new ve.MathNode("mtext",[s]):/[0-9]/.test(i.text)?T=new ve.MathNode("mn",[s]):i.text==="\\prime"?T=new ve.MathNode("mo",[s]):T=new ve.MathNode("mi",[s]),_!==Zo[T.type]&&T.setAttribute("mathvariant",_),T}});var ca={"\\nobreak":"nobreak","\\allowbreak":"allowbreak"},ua={" ":{},"\\ ":{},"~":{className:"nobreak"},"\\space":{},"\\nobreakspace":{className:"nobreak"}};bn({type:"spacing",htmlBuilder:function(i,o){if(ua.hasOwnProperty(i.text)){var s=ua[i.text].className||"";if(i.mode==="text"){var _=_e.makeOrd(i,o,"textord");return _.classes.push(s),_}else return _e.makeSpan(["mspace",s],[_e.mathsym(i.text,i.mode,o)],o)}else{if(ca.hasOwnProperty(i.text))return _e.makeSpan(["mspace",ca[i.text]],[],o);throw new l('Unknown type of space "'+i.text+'"')}},mathmlBuilder:function(i,o){var s;if(ua.hasOwnProperty(i.text))s=new ve.MathNode("mtext",[new ve.TextNode("\xA0")]);else{if(ca.hasOwnProperty(i.text))return new ve.MathNode("mspace");throw new l('Unknown type of space "'+i.text+'"')}return s}});var Jo=function(){var i=new ve.MathNode("mtd",[]);return i.setAttribute("width","50%"),i};bn({type:"tag",mathmlBuilder:function(i,o){var s=new ve.MathNode("mtable",[new ve.MathNode("mtr",[Jo(),new ve.MathNode("mtd",[on(i.body,o)]),Jo(),new ve.MathNode("mtd",[on(i.tag,o)])])]);return s.setAttribute("width","100%"),s}});var es={"\\text":void 0,"\\textrm":"textrm","\\textsf":"textsf","\\texttt":"texttt","\\textnormal":"textrm"},ts={"\\textbf":"textbf","\\textmd":"textmd"},bu={"\\textit":"textit","\\textup":"textup"},ns=function(i,o){var s=i.font;return s?es[s]?o.withTextFontFamily(es[s]):ts[s]?o.withTextFontWeight(ts[s]):o.withTextFontShape(bu[s]):o};De({type:"text",names:["\\text","\\textrm","\\textsf","\\texttt","\\textnormal","\\textbf","\\textmd","\\textit","\\textup"],props:{numArgs:1,argTypes:["text"],allowedInArgument:!0,allowedInText:!0},handler:function(i,o){var s=i.parser,_=i.funcName,T=o[0];return{type:"text",mode:s.mode,body:pt(T),font:_}},htmlBuilder:function(i,o){var s=ns(i,o),_=ft(i.body,s,!0);return _e.makeSpan(["mord","text"],_,s)},mathmlBuilder:function(i,o){var s=ns(i,o);return on(i.body,s)}}),De({type:"underline",names:["\\underline"],props:{numArgs:1,allowedInText:!0},handler:function(i,o){var s=i.parser;return{type:"underline",mode:s.mode,body:o[0]}},htmlBuilder:function(i,o){var s=Ke(i.body,o),_=_e.makeLineSpan("underline-line",o),T=o.fontMetrics().defaultRuleThickness,I=_e.makeVList({positionType:"top",positionData:s.height,children:[{type:"kern",size:T},{type:"elem",elem:_},{type:"kern",size:3*T},{type:"elem",elem:s}]},o);return _e.makeSpan(["mord","underline"],[I],o)},mathmlBuilder:function(i,o){var s=new ve.MathNode("mo",[new ve.TextNode("\u203E")]);s.setAttribute("stretchy","true");var _=new ve.MathNode("munder",[rt(i.body,o),s]);return _.setAttribute("accentunder","true"),_}}),De({type:"vcenter",names:["\\vcenter"],props:{numArgs:1,argTypes:["original"],allowedInText:!1},handler:function(i,o){var s=i.parser;return{type:"vcenter",mode:s.mode,body:o[0]}},htmlBuilder:function(i,o){var s=Ke(i.body,o),_=o.fontMetrics().axisHeight,T=.5*(s.height-_-(s.depth+_));return _e.makeVList({positionType:"shift",positionData:T,children:[{type:"elem",elem:s}]},o)},mathmlBuilder:function(i,o){return new ve.MathNode("mpadded",[rt(i.body,o)],["vcenter"])}}),De({type:"verb",names:["\\verb"],props:{numArgs:0,allowedInText:!0},handler:function(i,o,s){throw new l("\\verb ended by end of line instead of matching delimiter")},htmlBuilder:function(i,o){for(var s=rs(i),_=[],T=o.havingStyle(o.style.text()),I=0;I0;)this.endGroup()},i.has=function(s){return this.current.hasOwnProperty(s)||this.builtins.hasOwnProperty(s)},i.get=function(s){return this.current.hasOwnProperty(s)?this.current[s]:this.builtins[s]},i.set=function(s,_,T){if(T===void 0&&(T=!1),T){for(var I=0;I0&&(this.undefStack[this.undefStack.length-1][s]=_)}else{var B=this.undefStack[this.undefStack.length-1];B&&!B.hasOwnProperty(s)&&(B[s]=this.current[s])}_==null?delete this.current[s]:this.current[s]=_},N}(),Iu=Lo,Du=Iu;H("\\noexpand",function(N){var i=N.popToken();return N.isExpandable(i.text)&&(i.noexpand=!0,i.treatAsRelax=!0),{tokens:[i],numArgs:0}}),H("\\expandafter",function(N){var i=N.popToken();return N.expandOnce(!0),{tokens:[i],numArgs:0}}),H("\\@firstoftwo",function(N){var i=N.consumeArgs(2);return{tokens:i[0],numArgs:0}}),H("\\@secondoftwo",function(N){var i=N.consumeArgs(2);return{tokens:i[1],numArgs:0}}),H("\\@ifnextchar",function(N){var i=N.consumeArgs(3);N.consumeSpaces();var o=N.future();return i[0].length===1&&i[0][0].text===o.text?{tokens:i[1],numArgs:0}:{tokens:i[2],numArgs:0}}),H("\\@ifstar","\\@ifnextchar *{\\@firstoftwo{#1}}"),H("\\TextOrMath",function(N){var i=N.consumeArgs(2);return N.mode==="text"?{tokens:i[0],numArgs:0}:{tokens:i[1],numArgs:0}});var os={0:0,1:1,2:2,3:3,4:4,5:5,6:6,7:7,8:8,9:9,a:10,A:10,b:11,B:11,c:12,C:12,d:13,D:13,e:14,E:14,f:15,F:15};H("\\char",function(N){var i=N.popToken(),o,s="";if(i.text==="'")o=8,i=N.popToken();else if(i.text==='"')o=16,i=N.popToken();else if(i.text==="`")if(i=N.popToken(),i.text[0]==="\\")s=i.text.charCodeAt(1);else{if(i.text==="EOF")throw new l("\\char` missing argument");s=i.text.charCodeAt(0)}else o=10;if(o){if(s=os[i.text],s==null||s>=o)throw new l("Invalid base-"+o+" digit "+i.text);for(var _;(_=os[N.future().text])!=null&&_":"\\dotsb","-":"\\dotsb","*":"\\dotsb",":":"\\dotsb","\\DOTSB":"\\dotsb","\\coprod":"\\dotsb","\\bigvee":"\\dotsb","\\bigwedge":"\\dotsb","\\biguplus":"\\dotsb","\\bigcap":"\\dotsb","\\bigcup":"\\dotsb","\\prod":"\\dotsb","\\sum":"\\dotsb","\\bigotimes":"\\dotsb","\\bigoplus":"\\dotsb","\\bigodot":"\\dotsb","\\bigsqcup":"\\dotsb","\\And":"\\dotsb","\\longrightarrow":"\\dotsb","\\Longrightarrow":"\\dotsb","\\longleftarrow":"\\dotsb","\\Longleftarrow":"\\dotsb","\\longleftrightarrow":"\\dotsb","\\Longleftrightarrow":"\\dotsb","\\mapsto":"\\dotsb","\\longmapsto":"\\dotsb","\\hookrightarrow":"\\dotsb","\\doteq":"\\dotsb","\\mathbin":"\\dotsb","\\mathrel":"\\dotsb","\\relbar":"\\dotsb","\\Relbar":"\\dotsb","\\xrightarrow":"\\dotsb","\\xleftarrow":"\\dotsb","\\DOTSI":"\\dotsi","\\int":"\\dotsi","\\oint":"\\dotsi","\\iint":"\\dotsi","\\iiint":"\\dotsi","\\iiiint":"\\dotsi","\\idotsint":"\\dotsi","\\DOTSX":"\\dotsx"};H("\\dots",function(N){var i="\\dotso",o=N.expandAfterFuture().text;return o in ss?i=ss[o]:(o.slice(0,4)==="\\not"||o in st.math&&E.contains(["bin","rel"],st.math[o].group))&&(i="\\dotsb"),i});var pa={")":!0,"]":!0,"\\rbrack":!0,"\\}":!0,"\\rbrace":!0,"\\rangle":!0,"\\rceil":!0,"\\rfloor":!0,"\\rgroup":!0,"\\rmoustache":!0,"\\right":!0,"\\bigr":!0,"\\biggr":!0,"\\Bigr":!0,"\\Biggr":!0,$:!0,";":!0,".":!0,",":!0};H("\\dotso",function(N){var i=N.future().text;return i in pa?"\\ldots\\,":"\\ldots"}),H("\\dotsc",function(N){var i=N.future().text;return i in pa&&i!==","?"\\ldots\\,":"\\ldots"}),H("\\cdots",function(N){var i=N.future().text;return i in pa?"\\@cdots\\,":"\\@cdots"}),H("\\dotsb","\\cdots"),H("\\dotsm","\\cdots"),H("\\dotsi","\\!\\cdots"),H("\\dotsx","\\ldots\\,"),H("\\DOTSI","\\relax"),H("\\DOTSB","\\relax"),H("\\DOTSX","\\relax"),H("\\tmspace","\\TextOrMath{\\kern#1#3}{\\mskip#1#2}\\relax"),H("\\,","\\tmspace+{3mu}{.1667em}"),H("\\thinspace","\\,"),H("\\>","\\mskip{4mu}"),H("\\:","\\tmspace+{4mu}{.2222em}"),H("\\medspace","\\:"),H("\\;","\\tmspace+{5mu}{.2777em}"),H("\\thickspace","\\;"),H("\\!","\\tmspace-{3mu}{.1667em}"),H("\\negthinspace","\\!"),H("\\negmedspace","\\tmspace-{4mu}{.2222em}"),H("\\negthickspace","\\tmspace-{5mu}{.277em}"),H("\\enspace","\\kern.5em "),H("\\enskip","\\hskip.5em\\relax"),H("\\quad","\\hskip1em\\relax"),H("\\qquad","\\hskip2em\\relax"),H("\\tag","\\@ifstar\\tag@literal\\tag@paren"),H("\\tag@paren","\\tag@literal{({#1})}"),H("\\tag@literal",function(N){if(N.macros.get("\\df@tag"))throw new l("Multiple \\tag");return"\\gdef\\df@tag{\\text{#1}}"}),H("\\bmod","\\mathchoice{\\mskip1mu}{\\mskip1mu}{\\mskip5mu}{\\mskip5mu}\\mathbin{\\rm mod}\\mathchoice{\\mskip1mu}{\\mskip1mu}{\\mskip5mu}{\\mskip5mu}"),H("\\pod","\\allowbreak\\mathchoice{\\mkern18mu}{\\mkern8mu}{\\mkern8mu}{\\mkern8mu}(#1)"),H("\\pmod","\\pod{{\\rm mod}\\mkern6mu#1}"),H("\\mod","\\allowbreak\\mathchoice{\\mkern18mu}{\\mkern12mu}{\\mkern12mu}{\\mkern12mu}{\\rm mod}\\,\\,#1"),H("\\newline","\\\\\\relax"),H("\\TeX","\\textrm{\\html@mathml{T\\kern-.1667em\\raisebox{-.5ex}{E}\\kern-.125emX}{TeX}}");var ls=Oe(ue["Main-Regular"]["T".charCodeAt(0)][1]-.7*ue["Main-Regular"]["A".charCodeAt(0)][1]);H("\\LaTeX","\\textrm{\\html@mathml{"+("L\\kern-.36em\\raisebox{"+ls+"}{\\scriptstyle A}")+"\\kern-.15em\\TeX}{LaTeX}}"),H("\\KaTeX","\\textrm{\\html@mathml{"+("K\\kern-.17em\\raisebox{"+ls+"}{\\scriptstyle A}")+"\\kern-.15em\\TeX}{KaTeX}}"),H("\\hspace","\\@ifstar\\@hspacer\\@hspace"),H("\\@hspace","\\hskip #1\\relax"),H("\\@hspacer","\\rule{0pt}{0pt}\\hskip #1\\relax"),H("\\ordinarycolon",":"),H("\\vcentcolon","\\mathrel{\\mathop\\ordinarycolon}"),H("\\dblcolon",'\\html@mathml{\\mathrel{\\vcentcolon\\mathrel{\\mkern-.9mu}\\vcentcolon}}{\\mathop{\\char"2237}}'),H("\\coloneqq",'\\html@mathml{\\mathrel{\\vcentcolon\\mathrel{\\mkern-1.2mu}=}}{\\mathop{\\char"2254}}'),H("\\Coloneqq",'\\html@mathml{\\mathrel{\\dblcolon\\mathrel{\\mkern-1.2mu}=}}{\\mathop{\\char"2237\\char"3d}}'),H("\\coloneq",'\\html@mathml{\\mathrel{\\vcentcolon\\mathrel{\\mkern-1.2mu}\\mathrel{-}}}{\\mathop{\\char"3a\\char"2212}}'),H("\\Coloneq",'\\html@mathml{\\mathrel{\\dblcolon\\mathrel{\\mkern-1.2mu}\\mathrel{-}}}{\\mathop{\\char"2237\\char"2212}}'),H("\\eqqcolon",'\\html@mathml{\\mathrel{=\\mathrel{\\mkern-1.2mu}\\vcentcolon}}{\\mathop{\\char"2255}}'),H("\\Eqqcolon",'\\html@mathml{\\mathrel{=\\mathrel{\\mkern-1.2mu}\\dblcolon}}{\\mathop{\\char"3d\\char"2237}}'),H("\\eqcolon",'\\html@mathml{\\mathrel{\\mathrel{-}\\mathrel{\\mkern-1.2mu}\\vcentcolon}}{\\mathop{\\char"2239}}'),H("\\Eqcolon",'\\html@mathml{\\mathrel{\\mathrel{-}\\mathrel{\\mkern-1.2mu}\\dblcolon}}{\\mathop{\\char"2212\\char"2237}}'),H("\\colonapprox",'\\html@mathml{\\mathrel{\\vcentcolon\\mathrel{\\mkern-1.2mu}\\approx}}{\\mathop{\\char"3a\\char"2248}}'),H("\\Colonapprox",'\\html@mathml{\\mathrel{\\dblcolon\\mathrel{\\mkern-1.2mu}\\approx}}{\\mathop{\\char"2237\\char"2248}}'),H("\\colonsim",'\\html@mathml{\\mathrel{\\vcentcolon\\mathrel{\\mkern-1.2mu}\\sim}}{\\mathop{\\char"3a\\char"223c}}'),H("\\Colonsim",'\\html@mathml{\\mathrel{\\dblcolon\\mathrel{\\mkern-1.2mu}\\sim}}{\\mathop{\\char"2237\\char"223c}}'),H("\u2237","\\dblcolon"),H("\u2239","\\eqcolon"),H("\u2254","\\coloneqq"),H("\u2255","\\eqqcolon"),H("\u2A74","\\Coloneqq"),H("\\ratio","\\vcentcolon"),H("\\coloncolon","\\dblcolon"),H("\\colonequals","\\coloneqq"),H("\\coloncolonequals","\\Coloneqq"),H("\\equalscolon","\\eqqcolon"),H("\\equalscoloncolon","\\Eqqcolon"),H("\\colonminus","\\coloneq"),H("\\coloncolonminus","\\Coloneq"),H("\\minuscolon","\\eqcolon"),H("\\minuscoloncolon","\\Eqcolon"),H("\\coloncolonapprox","\\Colonapprox"),H("\\coloncolonsim","\\Colonsim"),H("\\simcolon","\\mathrel{\\sim\\mathrel{\\mkern-1.2mu}\\vcentcolon}"),H("\\simcoloncolon","\\mathrel{\\sim\\mathrel{\\mkern-1.2mu}\\dblcolon}"),H("\\approxcolon","\\mathrel{\\approx\\mathrel{\\mkern-1.2mu}\\vcentcolon}"),H("\\approxcoloncolon","\\mathrel{\\approx\\mathrel{\\mkern-1.2mu}\\dblcolon}"),H("\\notni","\\html@mathml{\\not\\ni}{\\mathrel{\\char`\u220C}}"),H("\\limsup","\\DOTSB\\operatorname*{lim\\,sup}"),H("\\liminf","\\DOTSB\\operatorname*{lim\\,inf}"),H("\\injlim","\\DOTSB\\operatorname*{inj\\,lim}"),H("\\projlim","\\DOTSB\\operatorname*{proj\\,lim}"),H("\\varlimsup","\\DOTSB\\operatorname*{\\overline{lim}}"),H("\\varliminf","\\DOTSB\\operatorname*{\\underline{lim}}"),H("\\varinjlim","\\DOTSB\\operatorname*{\\underrightarrow{lim}}"),H("\\varprojlim","\\DOTSB\\operatorname*{\\underleftarrow{lim}}"),H("\\gvertneqq","\\html@mathml{\\@gvertneqq}{\u2269}"),H("\\lvertneqq","\\html@mathml{\\@lvertneqq}{\u2268}"),H("\\ngeqq","\\html@mathml{\\@ngeqq}{\u2271}"),H("\\ngeqslant","\\html@mathml{\\@ngeqslant}{\u2271}"),H("\\nleqq","\\html@mathml{\\@nleqq}{\u2270}"),H("\\nleqslant","\\html@mathml{\\@nleqslant}{\u2270}"),H("\\nshortmid","\\html@mathml{\\@nshortmid}{\u2224}"),H("\\nshortparallel","\\html@mathml{\\@nshortparallel}{\u2226}"),H("\\nsubseteqq","\\html@mathml{\\@nsubseteqq}{\u2288}"),H("\\nsupseteqq","\\html@mathml{\\@nsupseteqq}{\u2289}"),H("\\varsubsetneq","\\html@mathml{\\@varsubsetneq}{\u228A}"),H("\\varsubsetneqq","\\html@mathml{\\@varsubsetneqq}{\u2ACB}"),H("\\varsupsetneq","\\html@mathml{\\@varsupsetneq}{\u228B}"),H("\\varsupsetneqq","\\html@mathml{\\@varsupsetneqq}{\u2ACC}"),H("\\imath","\\html@mathml{\\@imath}{\u0131}"),H("\\jmath","\\html@mathml{\\@jmath}{\u0237}"),H("\\llbracket","\\html@mathml{\\mathopen{[\\mkern-3.2mu[}}{\\mathopen{\\char`\u27E6}}"),H("\\rrbracket","\\html@mathml{\\mathclose{]\\mkern-3.2mu]}}{\\mathclose{\\char`\u27E7}}"),H("\u27E6","\\llbracket"),H("\u27E7","\\rrbracket"),H("\\lBrace","\\html@mathml{\\mathopen{\\{\\mkern-3.2mu[}}{\\mathopen{\\char`\u2983}}"),H("\\rBrace","\\html@mathml{\\mathclose{]\\mkern-3.2mu\\}}}{\\mathclose{\\char`\u2984}}"),H("\u2983","\\lBrace"),H("\u2984","\\rBrace"),H("\\minuso","\\mathbin{\\html@mathml{{\\mathrlap{\\mathchoice{\\kern{0.145em}}{\\kern{0.145em}}{\\kern{0.1015em}}{\\kern{0.0725em}}\\circ}{-}}}{\\char`\u29B5}}"),H("\u29B5","\\minuso"),H("\\darr","\\downarrow"),H("\\dArr","\\Downarrow"),H("\\Darr","\\Downarrow"),H("\\lang","\\langle"),H("\\rang","\\rangle"),H("\\uarr","\\uparrow"),H("\\uArr","\\Uparrow"),H("\\Uarr","\\Uparrow"),H("\\N","\\mathbb{N}"),H("\\R","\\mathbb{R}"),H("\\Z","\\mathbb{Z}"),H("\\alef","\\aleph"),H("\\alefsym","\\aleph"),H("\\Alpha","\\mathrm{A}"),H("\\Beta","\\mathrm{B}"),H("\\bull","\\bullet"),H("\\Chi","\\mathrm{X}"),H("\\clubs","\\clubsuit"),H("\\cnums","\\mathbb{C}"),H("\\Complex","\\mathbb{C}"),H("\\Dagger","\\ddagger"),H("\\diamonds","\\diamondsuit"),H("\\empty","\\emptyset"),H("\\Epsilon","\\mathrm{E}"),H("\\Eta","\\mathrm{H}"),H("\\exist","\\exists"),H("\\harr","\\leftrightarrow"),H("\\hArr","\\Leftrightarrow"),H("\\Harr","\\Leftrightarrow"),H("\\hearts","\\heartsuit"),H("\\image","\\Im"),H("\\infin","\\infty"),H("\\Iota","\\mathrm{I}"),H("\\isin","\\in"),H("\\Kappa","\\mathrm{K}"),H("\\larr","\\leftarrow"),H("\\lArr","\\Leftarrow"),H("\\Larr","\\Leftarrow"),H("\\lrarr","\\leftrightarrow"),H("\\lrArr","\\Leftrightarrow"),H("\\Lrarr","\\Leftrightarrow"),H("\\Mu","\\mathrm{M}"),H("\\natnums","\\mathbb{N}"),H("\\Nu","\\mathrm{N}"),H("\\Omicron","\\mathrm{O}"),H("\\plusmn","\\pm"),H("\\rarr","\\rightarrow"),H("\\rArr","\\Rightarrow"),H("\\Rarr","\\Rightarrow"),H("\\real","\\Re"),H("\\reals","\\mathbb{R}"),H("\\Reals","\\mathbb{R}"),H("\\Rho","\\mathrm{P}"),H("\\sdot","\\cdot"),H("\\sect","\\S"),H("\\spades","\\spadesuit"),H("\\sub","\\subset"),H("\\sube","\\subseteq"),H("\\supe","\\supseteq"),H("\\Tau","\\mathrm{T}"),H("\\thetasym","\\vartheta"),H("\\weierp","\\wp"),H("\\Zeta","\\mathrm{Z}"),H("\\argmin","\\DOTSB\\operatorname*{arg\\,min}"),H("\\argmax","\\DOTSB\\operatorname*{arg\\,max}"),H("\\plim","\\DOTSB\\mathop{\\operatorname{plim}}\\limits"),H("\\bra","\\mathinner{\\langle{#1}|}"),H("\\ket","\\mathinner{|{#1}\\rangle}"),H("\\braket","\\mathinner{\\langle{#1}\\rangle}"),H("\\Bra","\\left\\langle#1\\right|"),H("\\Ket","\\left|#1\\right\\rangle");var cs=function(i){return function(o){var s=o.consumeArg().tokens,_=o.consumeArg().tokens,T=o.consumeArg().tokens,I=o.consumeArg().tokens,B=o.macros.get("|"),$=o.macros.get("\\|");o.macros.beginGroup();var V=function(fe){return function(Se){i&&(Se.macros.set("|",B),T.length&&Se.macros.set("\\|",$));var Re=fe;if(!fe&&T.length){var Ae=Se.future();Ae.text==="|"&&(Se.popToken(),Re=!0)}return{tokens:Re?T:_,numArgs:0}}};o.macros.set("|",V(!1)),T.length&&o.macros.set("\\|",V(!0));var ne=o.consumeArg().tokens,pe=o.expandTokens([].concat(I,ne,s));return o.macros.endGroup(),{tokens:pe.reverse(),numArgs:0}}};H("\\bra@ket",cs(!1)),H("\\bra@set",cs(!0)),H("\\Braket","\\bra@ket{\\left\\langle}{\\,\\middle\\vert\\,}{\\,\\middle\\vert\\,}{\\right\\rangle}"),H("\\Set","\\bra@set{\\left\\{\\:}{\\;\\middle\\vert\\;}{\\;\\middle\\Vert\\;}{\\:\\right\\}}"),H("\\set","\\bra@set{\\{\\,}{\\mid}{}{\\,\\}}"),H("\\angln","{\\angl n}"),H("\\blue","\\textcolor{##6495ed}{#1}"),H("\\orange","\\textcolor{##ffa500}{#1}"),H("\\pink","\\textcolor{##ff00af}{#1}"),H("\\red","\\textcolor{##df0030}{#1}"),H("\\green","\\textcolor{##28ae7b}{#1}"),H("\\gray","\\textcolor{gray}{#1}"),H("\\purple","\\textcolor{##9d38bd}{#1}"),H("\\blueA","\\textcolor{##ccfaff}{#1}"),H("\\blueB","\\textcolor{##80f6ff}{#1}"),H("\\blueC","\\textcolor{##63d9ea}{#1}"),H("\\blueD","\\textcolor{##11accd}{#1}"),H("\\blueE","\\textcolor{##0c7f99}{#1}"),H("\\tealA","\\textcolor{##94fff5}{#1}"),H("\\tealB","\\textcolor{##26edd5}{#1}"),H("\\tealC","\\textcolor{##01d1c1}{#1}"),H("\\tealD","\\textcolor{##01a995}{#1}"),H("\\tealE","\\textcolor{##208170}{#1}"),H("\\greenA","\\textcolor{##b6ffb0}{#1}"),H("\\greenB","\\textcolor{##8af281}{#1}"),H("\\greenC","\\textcolor{##74cf70}{#1}"),H("\\greenD","\\textcolor{##1fab54}{#1}"),H("\\greenE","\\textcolor{##0d923f}{#1}"),H("\\goldA","\\textcolor{##ffd0a9}{#1}"),H("\\goldB","\\textcolor{##ffbb71}{#1}"),H("\\goldC","\\textcolor{##ff9c39}{#1}"),H("\\goldD","\\textcolor{##e07d10}{#1}"),H("\\goldE","\\textcolor{##a75a05}{#1}"),H("\\redA","\\textcolor{##fca9a9}{#1}"),H("\\redB","\\textcolor{##ff8482}{#1}"),H("\\redC","\\textcolor{##f9685d}{#1}"),H("\\redD","\\textcolor{##e84d39}{#1}"),H("\\redE","\\textcolor{##bc2612}{#1}"),H("\\maroonA","\\textcolor{##ffbde0}{#1}"),H("\\maroonB","\\textcolor{##ff92c6}{#1}"),H("\\maroonC","\\textcolor{##ed5fa6}{#1}"),H("\\maroonD","\\textcolor{##ca337c}{#1}"),H("\\maroonE","\\textcolor{##9e034e}{#1}"),H("\\purpleA","\\textcolor{##ddd7ff}{#1}"),H("\\purpleB","\\textcolor{##c6b9fc}{#1}"),H("\\purpleC","\\textcolor{##aa87ff}{#1}"),H("\\purpleD","\\textcolor{##7854ab}{#1}"),H("\\purpleE","\\textcolor{##543b78}{#1}"),H("\\mintA","\\textcolor{##f5f9e8}{#1}"),H("\\mintB","\\textcolor{##edf2df}{#1}"),H("\\mintC","\\textcolor{##e0e5cc}{#1}"),H("\\grayA","\\textcolor{##f6f7f7}{#1}"),H("\\grayB","\\textcolor{##f0f1f2}{#1}"),H("\\grayC","\\textcolor{##e3e5e6}{#1}"),H("\\grayD","\\textcolor{##d6d8da}{#1}"),H("\\grayE","\\textcolor{##babec2}{#1}"),H("\\grayF","\\textcolor{##888d93}{#1}"),H("\\grayG","\\textcolor{##626569}{#1}"),H("\\grayH","\\textcolor{##3b3e40}{#1}"),H("\\grayI","\\textcolor{##21242c}{#1}"),H("\\kaBlue","\\textcolor{##314453}{#1}"),H("\\kaGreen","\\textcolor{##71B307}{#1}");var us={"^":!0,_:!0,"\\limits":!0,"\\nolimits":!0},xu=function(){function N(o,s,_){this.settings=void 0,this.expansionCount=void 0,this.lexer=void 0,this.macros=void 0,this.stack=void 0,this.mode=void 0,this.settings=s,this.expansionCount=0,this.feed(o),this.macros=new Au(Du,s.macros),this.mode=_,this.stack=[]}var i=N.prototype;return i.feed=function(s){this.lexer=new as(s,this.settings)},i.switchMode=function(s){this.mode=s},i.beginGroup=function(){this.macros.beginGroup()},i.endGroup=function(){this.macros.endGroup()},i.endGroups=function(){this.macros.endGroups()},i.future=function(){return this.stack.length===0&&this.pushToken(this.lexer.lex()),this.stack[this.stack.length-1]},i.popToken=function(){return this.future(),this.stack.pop()},i.pushToken=function(s){this.stack.push(s)},i.pushTokens=function(s){var _;(_=this.stack).push.apply(_,s)},i.scanArgument=function(s){var _,T,I;if(s){if(this.consumeSpaces(),this.future().text!=="[")return null;_=this.popToken();var B=this.consumeArg(["]"]);I=B.tokens,T=B.end}else{var $=this.consumeArg();I=$.tokens,_=$.start,T=$.end}return this.pushToken(new sn("EOF",T.loc)),this.pushTokens(I),_.range(T,"")},i.consumeSpaces=function(){for(;;){var s=this.future();if(s.text===" ")this.stack.pop();else break}},i.consumeArg=function(s){var _=[],T=s&&s.length>0;T||this.consumeSpaces();var I=this.future(),B,$=0,V=0;do{if(B=this.popToken(),_.push(B),B.text==="{")++$;else if(B.text==="}"){if(--$,$===-1)throw new l("Extra }",B)}else if(B.text==="EOF")throw new l("Unexpected end of input in a macro argument, expected '"+(s&&T?s[V]:"}")+"'",B);if(s&&T)if(($===0||$===1&&s[V]==="{")&&B.text===s[V]){if(++V,V===s.length){_.splice(-V,V);break}}else V=0}while($!==0||T);return I.text==="{"&&_[_.length-1].text==="}"&&(_.pop(),_.shift()),_.reverse(),{tokens:_,start:I,end:B}},i.consumeArgs=function(s,_){if(_){if(_.length!==s+1)throw new l("The length of delimiters doesn't match the number of args!");for(var T=_[0],I=0;Ithis.settings.maxExpand)throw new l("Too many expansions: infinite loop or need to increase maxExpand setting");var B=I.tokens,$=this.consumeArgs(I.numArgs,I.delimiters);if(I.numArgs){B=B.slice();for(var V=B.length-1;V>=0;--V){var ne=B[V];if(ne.text==="#"){if(V===0)throw new l("Incomplete placeholder at end of macro body",ne);if(ne=B[--V],ne.text==="#")B.splice(V+1,1);else if(/^[1-9]$/.test(ne.text)){var pe;(pe=B).splice.apply(pe,[V,2].concat($[+ne.text-1]))}else throw new l("Not a valid argument number",ne)}}}return this.pushTokens(B),B.length},i.expandAfterFuture=function(){return this.expandOnce(),this.future()},i.expandNextToken=function(){for(;;)if(this.expandOnce()===!1){var s=this.stack.pop();return s.treatAsRelax&&(s.text="\\relax"),s}throw new Error},i.expandMacro=function(s){return this.macros.has(s)?this.expandTokens([new sn(s)]):void 0},i.expandTokens=function(s){var _=[],T=this.stack.length;for(this.pushTokens(s);this.stack.length>T;)if(this.expandOnce(!0)===!1){var I=this.stack.pop();I.treatAsRelax&&(I.noexpand=!1,I.treatAsRelax=!1),_.push(I)}return _},i.expandMacroAsText=function(s){var _=this.expandMacro(s);return _&&_.map(function(T){return T.text}).join("")},i._getExpansion=function(s){var _=this.macros.get(s);if(_==null)return _;if(s.length===1){var T=this.lexer.catcodes[s];if(T!=null&&T!==13)return}var I=typeof _=="function"?_(this):_;if(typeof I=="string"){var B=0;if(I.indexOf("#")!==-1)for(var $=I.replace(/##/g,"");$.indexOf("#"+(B+1))!==-1;)++B;for(var V=new as(I,this.settings),ne=[],pe=V.lex();pe.text!=="EOF";)ne.push(pe),pe=V.lex();ne.reverse();var ge={tokens:ne,numArgs:B};return ge}return I},i.isDefined=function(s){return this.macros.has(s)||cn.hasOwnProperty(s)||st.math.hasOwnProperty(s)||st.text.hasOwnProperty(s)||us.hasOwnProperty(s)},i.isExpandable=function(s){var _=this.macros.get(s);return _!=null?typeof _=="string"||typeof _=="function"||!_.unexpandable:cn.hasOwnProperty(s)&&!cn[s].primitive},N}(),ds=/^[₊₋₌₍₎₀₁₂₃₄₅₆₇₈₉ₐₑₕᵢⱼₖₗₘₙₒₚᵣₛₜᵤᵥₓᵦᵧᵨᵩᵪ]/,jr=Object.freeze({"\u208A":"+","\u208B":"-","\u208C":"=","\u208D":"(","\u208E":")","\u2080":"0","\u2081":"1","\u2082":"2","\u2083":"3","\u2084":"4","\u2085":"5","\u2086":"6","\u2087":"7","\u2088":"8","\u2089":"9","\u2090":"a","\u2091":"e","\u2095":"h","\u1D62":"i","\u2C7C":"j","\u2096":"k","\u2097":"l","\u2098":"m","\u2099":"n","\u2092":"o","\u209A":"p","\u1D63":"r","\u209B":"s","\u209C":"t","\u1D64":"u","\u1D65":"v","\u2093":"x","\u1D66":"\u03B2","\u1D67":"\u03B3","\u1D68":"\u03C1","\u1D69":"\u03D5","\u1D6A":"\u03C7","\u207A":"+","\u207B":"-","\u207C":"=","\u207D":"(","\u207E":")","\u2070":"0","\xB9":"1","\xB2":"2","\xB3":"3","\u2074":"4","\u2075":"5","\u2076":"6","\u2077":"7","\u2078":"8","\u2079":"9","\u1D2C":"A","\u1D2E":"B","\u1D30":"D","\u1D31":"E","\u1D33":"G","\u1D34":"H","\u1D35":"I","\u1D36":"J","\u1D37":"K","\u1D38":"L","\u1D39":"M","\u1D3A":"N","\u1D3C":"O","\u1D3E":"P","\u1D3F":"R","\u1D40":"T","\u1D41":"U","\u2C7D":"V","\u1D42":"W","\u1D43":"a","\u1D47":"b","\u1D9C":"c","\u1D48":"d","\u1D49":"e","\u1DA0":"f","\u1D4D":"g",\u02B0:"h","\u2071":"i",\u02B2:"j","\u1D4F":"k",\u02E1:"l","\u1D50":"m",\u207F:"n","\u1D52":"o","\u1D56":"p",\u02B3:"r",\u02E2:"s","\u1D57":"t","\u1D58":"u","\u1D5B":"v",\u02B7:"w",\u02E3:"x",\u02B8:"y","\u1DBB":"z","\u1D5D":"\u03B2","\u1D5E":"\u03B3","\u1D5F":"\u03B4","\u1D60":"\u03D5","\u1D61":"\u03C7","\u1DBF":"\u03B8"}),ma={"\u0301":{text:"\\'",math:"\\acute"},"\u0300":{text:"\\`",math:"\\grave"},"\u0308":{text:'\\"',math:"\\ddot"},"\u0303":{text:"\\~",math:"\\tilde"},"\u0304":{text:"\\=",math:"\\bar"},"\u0306":{text:"\\u",math:"\\breve"},"\u030C":{text:"\\v",math:"\\check"},"\u0302":{text:"\\^",math:"\\hat"},"\u0307":{text:"\\.",math:"\\dot"},"\u030A":{text:"\\r",math:"\\mathring"},"\u030B":{text:"\\H"},"\u0327":{text:"\\c"}},_s={\u00E1:"a\u0301",\u00E0:"a\u0300",\u00E4:"a\u0308",\u01DF:"a\u0308\u0304",\u00E3:"a\u0303",\u0101:"a\u0304",\u0103:"a\u0306",\u1EAF:"a\u0306\u0301",\u1EB1:"a\u0306\u0300",\u1EB5:"a\u0306\u0303",\u01CE:"a\u030C",\u00E2:"a\u0302",\u1EA5:"a\u0302\u0301",\u1EA7:"a\u0302\u0300",\u1EAB:"a\u0302\u0303",\u0227:"a\u0307",\u01E1:"a\u0307\u0304",\u00E5:"a\u030A",\u01FB:"a\u030A\u0301",\u1E03:"b\u0307",\u0107:"c\u0301",\u1E09:"c\u0327\u0301",\u010D:"c\u030C",\u0109:"c\u0302",\u010B:"c\u0307",\u00E7:"c\u0327",\u010F:"d\u030C",\u1E0B:"d\u0307",\u1E11:"d\u0327",\u00E9:"e\u0301",\u00E8:"e\u0300",\u00EB:"e\u0308",\u1EBD:"e\u0303",\u0113:"e\u0304",\u1E17:"e\u0304\u0301",\u1E15:"e\u0304\u0300",\u0115:"e\u0306",\u1E1D:"e\u0327\u0306",\u011B:"e\u030C",\u00EA:"e\u0302",\u1EBF:"e\u0302\u0301",\u1EC1:"e\u0302\u0300",\u1EC5:"e\u0302\u0303",\u0117:"e\u0307",\u0229:"e\u0327",\u1E1F:"f\u0307",\u01F5:"g\u0301",\u1E21:"g\u0304",\u011F:"g\u0306",\u01E7:"g\u030C",\u011D:"g\u0302",\u0121:"g\u0307",\u0123:"g\u0327",\u1E27:"h\u0308",\u021F:"h\u030C",\u0125:"h\u0302",\u1E23:"h\u0307",\u1E29:"h\u0327",\u00ED:"i\u0301",\u00EC:"i\u0300",\u00EF:"i\u0308",\u1E2F:"i\u0308\u0301",\u0129:"i\u0303",\u012B:"i\u0304",\u012D:"i\u0306",\u01D0:"i\u030C",\u00EE:"i\u0302",\u01F0:"j\u030C",\u0135:"j\u0302",\u1E31:"k\u0301",\u01E9:"k\u030C",\u0137:"k\u0327",\u013A:"l\u0301",\u013E:"l\u030C",\u013C:"l\u0327",\u1E3F:"m\u0301",\u1E41:"m\u0307",\u0144:"n\u0301",\u01F9:"n\u0300",\u00F1:"n\u0303",\u0148:"n\u030C",\u1E45:"n\u0307",\u0146:"n\u0327",\u00F3:"o\u0301",\u00F2:"o\u0300",\u00F6:"o\u0308",\u022B:"o\u0308\u0304",\u00F5:"o\u0303",\u1E4D:"o\u0303\u0301",\u1E4F:"o\u0303\u0308",\u022D:"o\u0303\u0304",\u014D:"o\u0304",\u1E53:"o\u0304\u0301",\u1E51:"o\u0304\u0300",\u014F:"o\u0306",\u01D2:"o\u030C",\u00F4:"o\u0302",\u1ED1:"o\u0302\u0301",\u1ED3:"o\u0302\u0300",\u1ED7:"o\u0302\u0303",\u022F:"o\u0307",\u0231:"o\u0307\u0304",\u0151:"o\u030B",\u1E55:"p\u0301",\u1E57:"p\u0307",\u0155:"r\u0301",\u0159:"r\u030C",\u1E59:"r\u0307",\u0157:"r\u0327",\u015B:"s\u0301",\u1E65:"s\u0301\u0307",\u0161:"s\u030C",\u1E67:"s\u030C\u0307",\u015D:"s\u0302",\u1E61:"s\u0307",\u015F:"s\u0327",\u1E97:"t\u0308",\u0165:"t\u030C",\u1E6B:"t\u0307",\u0163:"t\u0327",\u00FA:"u\u0301",\u00F9:"u\u0300",\u00FC:"u\u0308",\u01D8:"u\u0308\u0301",\u01DC:"u\u0308\u0300",\u01D6:"u\u0308\u0304",\u01DA:"u\u0308\u030C",\u0169:"u\u0303",\u1E79:"u\u0303\u0301",\u016B:"u\u0304",\u1E7B:"u\u0304\u0308",\u016D:"u\u0306",\u01D4:"u\u030C",\u00FB:"u\u0302",\u016F:"u\u030A",\u0171:"u\u030B",\u1E7D:"v\u0303",\u1E83:"w\u0301",\u1E81:"w\u0300",\u1E85:"w\u0308",\u0175:"w\u0302",\u1E87:"w\u0307",\u1E98:"w\u030A",\u1E8D:"x\u0308",\u1E8B:"x\u0307",\u00FD:"y\u0301",\u1EF3:"y\u0300",\u00FF:"y\u0308",\u1EF9:"y\u0303",\u0233:"y\u0304",\u0177:"y\u0302",\u1E8F:"y\u0307",\u1E99:"y\u030A",\u017A:"z\u0301",\u017E:"z\u030C",\u1E91:"z\u0302",\u017C:"z\u0307",\u00C1:"A\u0301",\u00C0:"A\u0300",\u00C4:"A\u0308",\u01DE:"A\u0308\u0304",\u00C3:"A\u0303",\u0100:"A\u0304",\u0102:"A\u0306",\u1EAE:"A\u0306\u0301",\u1EB0:"A\u0306\u0300",\u1EB4:"A\u0306\u0303",\u01CD:"A\u030C",\u00C2:"A\u0302",\u1EA4:"A\u0302\u0301",\u1EA6:"A\u0302\u0300",\u1EAA:"A\u0302\u0303",\u0226:"A\u0307",\u01E0:"A\u0307\u0304",\u00C5:"A\u030A",\u01FA:"A\u030A\u0301",\u1E02:"B\u0307",\u0106:"C\u0301",\u1E08:"C\u0327\u0301",\u010C:"C\u030C",\u0108:"C\u0302",\u010A:"C\u0307",\u00C7:"C\u0327",\u010E:"D\u030C",\u1E0A:"D\u0307",\u1E10:"D\u0327",\u00C9:"E\u0301",\u00C8:"E\u0300",\u00CB:"E\u0308",\u1EBC:"E\u0303",\u0112:"E\u0304",\u1E16:"E\u0304\u0301",\u1E14:"E\u0304\u0300",\u0114:"E\u0306",\u1E1C:"E\u0327\u0306",\u011A:"E\u030C",\u00CA:"E\u0302",\u1EBE:"E\u0302\u0301",\u1EC0:"E\u0302\u0300",\u1EC4:"E\u0302\u0303",\u0116:"E\u0307",\u0228:"E\u0327",\u1E1E:"F\u0307",\u01F4:"G\u0301",\u1E20:"G\u0304",\u011E:"G\u0306",\u01E6:"G\u030C",\u011C:"G\u0302",\u0120:"G\u0307",\u0122:"G\u0327",\u1E26:"H\u0308",\u021E:"H\u030C",\u0124:"H\u0302",\u1E22:"H\u0307",\u1E28:"H\u0327",\u00CD:"I\u0301",\u00CC:"I\u0300",\u00CF:"I\u0308",\u1E2E:"I\u0308\u0301",\u0128:"I\u0303",\u012A:"I\u0304",\u012C:"I\u0306",\u01CF:"I\u030C",\u00CE:"I\u0302",\u0130:"I\u0307",\u0134:"J\u0302",\u1E30:"K\u0301",\u01E8:"K\u030C",\u0136:"K\u0327",\u0139:"L\u0301",\u013D:"L\u030C",\u013B:"L\u0327",\u1E3E:"M\u0301",\u1E40:"M\u0307",\u0143:"N\u0301",\u01F8:"N\u0300",\u00D1:"N\u0303",\u0147:"N\u030C",\u1E44:"N\u0307",\u0145:"N\u0327",\u00D3:"O\u0301",\u00D2:"O\u0300",\u00D6:"O\u0308",\u022A:"O\u0308\u0304",\u00D5:"O\u0303",\u1E4C:"O\u0303\u0301",\u1E4E:"O\u0303\u0308",\u022C:"O\u0303\u0304",\u014C:"O\u0304",\u1E52:"O\u0304\u0301",\u1E50:"O\u0304\u0300",\u014E:"O\u0306",\u01D1:"O\u030C",\u00D4:"O\u0302",\u1ED0:"O\u0302\u0301",\u1ED2:"O\u0302\u0300",\u1ED6:"O\u0302\u0303",\u022E:"O\u0307",\u0230:"O\u0307\u0304",\u0150:"O\u030B",\u1E54:"P\u0301",\u1E56:"P\u0307",\u0154:"R\u0301",\u0158:"R\u030C",\u1E58:"R\u0307",\u0156:"R\u0327",\u015A:"S\u0301",\u1E64:"S\u0301\u0307",\u0160:"S\u030C",\u1E66:"S\u030C\u0307",\u015C:"S\u0302",\u1E60:"S\u0307",\u015E:"S\u0327",\u0164:"T\u030C",\u1E6A:"T\u0307",\u0162:"T\u0327",\u00DA:"U\u0301",\u00D9:"U\u0300",\u00DC:"U\u0308",\u01D7:"U\u0308\u0301",\u01DB:"U\u0308\u0300",\u01D5:"U\u0308\u0304",\u01D9:"U\u0308\u030C",\u0168:"U\u0303",\u1E78:"U\u0303\u0301",\u016A:"U\u0304",\u1E7A:"U\u0304\u0308",\u016C:"U\u0306",\u01D3:"U\u030C",\u00DB:"U\u0302",\u016E:"U\u030A",\u0170:"U\u030B",\u1E7C:"V\u0303",\u1E82:"W\u0301",\u1E80:"W\u0300",\u1E84:"W\u0308",\u0174:"W\u0302",\u1E86:"W\u0307",\u1E8C:"X\u0308",\u1E8A:"X\u0307",\u00DD:"Y\u0301",\u1EF2:"Y\u0300",\u0178:"Y\u0308",\u1EF8:"Y\u0303",\u0232:"Y\u0304",\u0176:"Y\u0302",\u1E8E:"Y\u0307",\u0179:"Z\u0301",\u017D:"Z\u030C",\u1E90:"Z\u0302",\u017B:"Z\u0307",\u03AC:"\u03B1\u0301",\u1F70:"\u03B1\u0300",\u1FB1:"\u03B1\u0304",\u1FB0:"\u03B1\u0306",\u03AD:"\u03B5\u0301",\u1F72:"\u03B5\u0300",\u03AE:"\u03B7\u0301",\u1F74:"\u03B7\u0300",\u03AF:"\u03B9\u0301",\u1F76:"\u03B9\u0300",\u03CA:"\u03B9\u0308",\u0390:"\u03B9\u0308\u0301",\u1FD2:"\u03B9\u0308\u0300",\u1FD1:"\u03B9\u0304",\u1FD0:"\u03B9\u0306",\u03CC:"\u03BF\u0301",\u1F78:"\u03BF\u0300",\u03CD:"\u03C5\u0301",\u1F7A:"\u03C5\u0300",\u03CB:"\u03C5\u0308",\u03B0:"\u03C5\u0308\u0301",\u1FE2:"\u03C5\u0308\u0300",\u1FE1:"\u03C5\u0304",\u1FE0:"\u03C5\u0306",\u03CE:"\u03C9\u0301",\u1F7C:"\u03C9\u0300",\u038E:"\u03A5\u0301",\u1FEA:"\u03A5\u0300",\u03AB:"\u03A5\u0308",\u1FE9:"\u03A5\u0304",\u1FE8:"\u03A5\u0306",\u038F:"\u03A9\u0301",\u1FFA:"\u03A9\u0300"},ps=function(){function N(o,s){this.mode=void 0,this.gullet=void 0,this.settings=void 0,this.leftrightDepth=void 0,this.nextToken=void 0,this.mode="math",this.gullet=new xu(o,s,this.mode),this.settings=s,this.leftrightDepth=0}var i=N.prototype;return i.expect=function(s,_){if(_===void 0&&(_=!0),this.fetch().text!==s)throw new l("Expected '"+s+"', got '"+this.fetch().text+"'",this.fetch());_&&this.consume()},i.consume=function(){this.nextToken=null},i.fetch=function(){return this.nextToken==null&&(this.nextToken=this.gullet.expandNextToken()),this.nextToken},i.switchMode=function(s){this.mode=s,this.gullet.switchMode(s)},i.parse=function(){this.settings.globalGroup||this.gullet.beginGroup(),this.settings.colorIsTextColor&&this.gullet.macros.set("\\color","\\textcolor");try{var s=this.parseExpression(!1);return this.expect("EOF"),this.settings.globalGroup||this.gullet.endGroup(),s}finally{this.gullet.endGroups()}},i.subparse=function(s){var _=this.nextToken;this.consume(),this.gullet.pushToken(new sn("}")),this.gullet.pushTokens(s);var T=this.parseExpression(!1);return this.expect("}"),this.nextToken=_,T},i.parseExpression=function(s,_){for(var T=[];;){this.mode==="math"&&this.consumeSpaces();var I=this.fetch();if(N.endOfExpression.indexOf(I.text)!==-1||_&&I.text===_||s&&cn[I.text]&&cn[I.text].infix)break;var B=this.parseAtom(_);if(B){if(B.type==="internal")continue}else break;T.push(B)}return this.mode==="text"&&this.formLigatures(T),this.handleInfixNodes(T)},i.handleInfixNodes=function(s){for(var _=-1,T,I=0;I=0&&this.settings.reportNonstrict("unicodeTextInMathMode",'Latin-1/Unicode text character "'+_[0]+'" used in math mode',s);var V=st[this.mode][_].group,ne=Pt.range(s),pe;if(Mi.hasOwnProperty(V)){var ge=V;pe={type:"atom",mode:this.mode,family:ge,loc:ne,text:_}}else pe={type:V,mode:this.mode,loc:ne,text:_};$=pe}else if(_.charCodeAt(0)>=128)this.settings.strict&&(ae(_.charCodeAt(0))?this.mode==="math"&&this.settings.reportNonstrict("unicodeTextInMathMode",'Unicode text character "'+_[0]+'" used in math mode',s):this.settings.reportNonstrict("unknownSymbol",'Unrecognized Unicode character "'+_[0]+'"'+(" ("+_.charCodeAt(0)+")"),s)),$={type:"textord",mode:"text",loc:Pt.range(s),text:_};else return null;if(this.consume(),B)for(var fe=0;fe0?e.src.charCodeAt(t-1):-1,r=t+1<=a?e.src.charCodeAt(t+1):-1,(n===32||n===9||r>=48&&r<=57)&&(u=!1),(r===32||r===9)&&(l=!1),{can_open:l,can_close:u}}function _Z(e,t){var n,r,a,l,u;if(e.src[e.pos]!=="$")return!1;if(l=cl(e,e.pos),!l.can_open)return t||(e.pending+="$"),e.pos+=1,!0;for(n=e.pos+1,r=n;(r=e.src.indexOf("$",r))!==-1;){for(u=r-1;e.src[u]==="\\";)u-=1;if((r-u)%2==1)break;r+=1}return r===-1?(t||(e.pending+="$"),e.pos=n,!0):r-n===0?(t||(e.pending+="$$"),e.pos=n+1,!0):(l=cl(e,r),l.can_close?(t||(a=e.push("math_inline","math",0),a.markup="$",a.content=e.src.slice(n,r)),e.pos=r+1,!0):(t||(e.pending+="$"),e.pos=n,!0))}function pZ(e,t,n,r){var a,l,u,c,d=!1,g,f=e.bMarks[t]+e.tShift[t],h=e.eMarks[t];if(f+2>h||e.src.slice(f,f+2)!=="$$")return!1;if(f+=2,a=e.src.slice(f,h),r)return!0;for(a.trim().slice(-2)==="$$"&&(a=a.trim().slice(0,-2),d=!0),u=t;!d&&(u++,!(u>=n||(f=e.bMarks[u]+e.tShift[u],h=e.eMarks[u],f/g,">").replace(/"/g,""").replace(/'/g,"'")}var mZ=function(t,n){n=n||{},n.katex&&(Pa=n.katex),n.blockClass||(n.blockClass="");var r=function(c){n.displayMode=!1;try{return Pa.renderToString(c,n)}catch(d){return n.throwOnError&&console.log(d),`${ui(c)}`}},a=function(c,d){return r(c[d].content)},l=function(c){n.displayMode=!0;try{return`

`+Pa.renderToString(c,n)+"

"}catch(d){return n.throwOnError&&console.log(d),`

${ui(c)}

`}},u=function(c,d){return l(c[d].content)+` `};t.inline.ruler.after("escape","math_inline",_Z),t.block.ruler.after("blockquote","math_block",pZ,{alt:["paragraph","reference","blockquote","list"]}),t.renderer.rules.math_inline=a,t.renderer.rules.math_block=u};const pn={mdi:null,formatMsg:e=>{const t=e.match(/]*?>/g);return t&&t.some(n=>{e=e.replace(n,`
${n}
`)}),e},highlightBlock:(e,t="")=>`
${t}${$A.L("\u590D\u5236\u4EE3\u7801")}
${e}
`};function fZ(e){return e==="..."?'

 

':(pn.mdi===null&&(pn.mdi=new uz({linkify:!0,highlight(t,n){if(!!(n&&ka.getLanguage(n))){const a=n!=null?n:"";return pn.highlightBlock(ka.highlight(t,{language:a}).value,a)}return pn.highlightBlock(ka.highlightAuto(t).value,"")}}),pn.mdi.use(dZ,{attrs:{target:"_blank",rel:"noopener"}}),pn.mdi.use(mZ,{blockClass:"katexmath-block rounded-md p-[10px]",errorColor:" #cc0000"})),pn.formatMsg(pn.mdi.render(e)))}var gZ=function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("div",{staticClass:"markdown-body",domProps:{innerHTML:e._s(e.html)},on:{click:e.onCLick}})},hZ=[];const EZ={name:"DialogMarkdown",props:{text:{type:String,default:""}},data(){return{mdi:null}},mounted(){this.copyCodeBlock()},updated(){this.copyCodeBlock()},computed:{html({text:e}){return fZ(e)}},methods:{copyCodeBlock(){this.$el.querySelectorAll(".code-block-wrapper").forEach(t=>{const n=t.querySelector(".code-block-header__copy"),r=t.querySelector(".code-block-body");n&&r&&n.getAttribute("data-copy")!=="click"&&(n.setAttribute("data-copy","click"),n.addEventListener("click",()=>{var a,l,u;(a=navigator.clipboard)!=null&&a.writeText?navigator.clipboard.writeText((l=r.textContent)!=null?l:""):this.copyText({text:(u=r.textContent)!=null?u:"",origin:!0})}))})},copyText(e){const t={origin:!0,...e};let n;t.origin?n=document.createElement("textarea"):n=document.createElement("input"),n.setAttribute("readonly","readonly"),n.value=t.text,document.body.appendChild(n),n.select(),document.execCommand("copy")&&document.execCommand("copy"),document.body.removeChild(n)},onCLick(e){this.$emit("click",e)}}},ul={};var SZ=Kt(EZ,gZ,hZ,!1,bZ,null,null,null);function bZ(e){for(let t in ul)this[t]=ul[t]}var vZ=function(){return SZ.exports}(),TZ=function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("div",{staticClass:"dialog-view",class:e.viewClass,attrs:{"data-id":e.msgData.id}},[e.dialogType==="group"?n("div",{staticClass:"dialog-username"},[n("UserAvatar",{attrs:{userid:e.msgData.userid,"show-icon":!1,"show-name":!0,"click-open-dialog":""}})],1):e._e(),n("div",{directives:[{name:"longpress",rawName:"v-longpress",value:{callback:e.handleLongpress,delay:300},expression:"{callback: handleLongpress, delay: 300}"}],staticClass:"dialog-head",class:e.headClass},[!e.hideReply&&e.msgData.reply_data?n("div",{staticClass:"dialog-reply no-dark-content",on:{click:e.viewReply}},[n("UserAvatar",{attrs:{userid:e.msgData.reply_data.userid,"show-icon":!1,"show-name":!0}}),n("div",{staticClass:"reply-desc",domProps:{innerHTML:e._s(e.$A.getMsgSimpleDesc(e.msgData.reply_data,"image-preview"))}})],1):e._e(),n("div",{ref:"content",staticClass:"dialog-content",class:e.contentClass},[e.msgData.type==="text"?n("div",{staticClass:"content-text no-dark-content"},[e.msgData.msg.type==="md"?n("DialogMarkdown",{attrs:{text:e.msgData.msg.text},on:{click:e.viewText}}):n("pre",{domProps:{innerHTML:e._s(e.$A.formatTextMsg(e.msgData.msg.text,e.userId))},on:{click:e.viewText}})],1):e.msgData.type==="file"?n("div",{class:`content-file ${e.msgData.msg.type}`},[n("div",{staticClass:"dialog-file"},[e.msgData.msg.type==="img"?n("img",{staticClass:"file-img",style:e.imageStyle(e.msgData.msg),attrs:{src:e.msgData.msg.thumb},on:{click:e.viewFile}}):n("div",{staticClass:"file-box",on:{click:e.downFile}},[n("img",{staticClass:"file-thumb",attrs:{src:e.msgData.msg.thumb}}),n("div",{staticClass:"file-info"},[n("div",{staticClass:"file-name"},[e._v(e._s(e.msgData.msg.name))]),n("div",{staticClass:"file-size"},[e._v(e._s(e.$A.bytesToSize(e.msgData.msg.size)))])])])])]):e.msgData.type==="record"?n("div",{staticClass:"content-record no-dark-content"},[n("div",{staticClass:"dialog-record",class:{playing:e.audioPlaying===e.msgData.msg.path},style:e.recordStyle(e.msgData.msg),on:{click:e.playRecord}},[n("div",{staticClass:"record-time"},[e._v(e._s(e.recordDuration(e.msgData.msg.duration)))]),n("div",{staticClass:"record-icon taskfont"})])]):e.msgData.type==="meeting"?n("div",{staticClass:"content-meeting no-dark-content"},[n("ul",{staticClass:"dialog-meeting"},[n("li",[n("em",[e._v(e._s(e.$L("\u4F1A\u8BAE\u4E3B\u9898")))]),e._v(" "+e._s(e.msgData.msg.name)+" ")]),n("li",[n("em",[e._v(e._s(e.$L("\u4F1A\u8BAE\u521B\u5EFA\u4EBA")))]),n("UserAvatar",{attrs:{userid:e.msgData.msg.userid,"show-icon":!1,"show-name":!0}})],1),n("li",[n("em",[e._v(e._s(e.$L("\u9891\u9053ID")))]),e._v(" "+e._s(e.msgData.msg.meetingid.replace(/^(.{3})(.{3})(.*)$/,"$1 $2 $3"))+" ")]),n("li",{staticClass:"meeting-operation",on:{click:e.openMeeting}},[e._v(" "+e._s(e.$L("\u70B9\u51FB\u52A0\u5165\u4F1A\u8BAE"))+" "),n("i",{staticClass:"taskfont"},[e._v("\uE68B")])])])]):e.msgData.type==="loading"?n("div",{staticClass:"content-loading"},[e.msgData.error===!0?n("Icon",{attrs:{type:"ios-alert-outline"}}):n("Loading")],1):n("div",{staticClass:"content-unknown"},[e._v(e._s(e.$L("\u672A\u77E5\u7684\u6D88\u606F\u7C7B\u578B")))])]),e.$A.arrayLength(e.msgData.emoji)>0?n("ul",{staticClass:"dialog-emoji"},e._l(e.msgData.emoji,function(r,a){return n("li",{key:a,class:{hasme:r.userids.includes(e.userId)}},[n("div",{staticClass:"emoji-symbol no-dark-content",on:{click:function(l){return e.onEmoji(r.symbol)}}},[e._v(e._s(r.symbol))]),n("div",{staticClass:"emoji-users",on:{click:function(l){return e.onShowEmojiUser(r)}}},[n("ul",[e._l(r.userids,function(l,u){return[u0?n("div",{staticClass:"reply",on:{click:e.replyList}},[n("i",{staticClass:"taskfont"},[e._v("\uE6EB")]),e._v(" "+e._s(e.msgData.reply_num)+"\u6761\u56DE\u590D ")]):e._e(),e.msgData.tag?n("div",{staticClass:"tag"},[n("i",{staticClass:"taskfont"},[e._v("\uE61E")])]):e._e(),e.msgData.todo?n("div",{staticClass:"todo",on:{click:e.openTodo}},[n("EPopover",{ref:"todo",attrs:{"popper-class":"dialog-wrapper-read-poptip",placement:e.isRightMsg?"bottom-end":"bottom-start"},model:{value:e.todoShow,callback:function(r){e.todoShow=r},expression:"todoShow"}},[n("div",{staticClass:"read-poptip-content"},[n("Scrollbar",{attrs:{"class-name":"read"}},[n("div",{staticClass:"read-title"},[n("em",[e._v(e._s(e.todoDoneList.length))]),e._v(e._s(e.$L("\u5B8C\u6210")))]),n("ul",e._l(e.todoDoneList,function(r){return n("li",[n("UserAvatar",{attrs:{userid:r.userid,size:26,showName:""}})],1)}),0)]),n("Scrollbar",{attrs:{"class-name":"unread"}},[n("div",{staticClass:"read-title"},[n("em",[e._v(e._s(e.todoUndoneList.length))]),e._v(e._s(e.$L("\u5F85\u529E")))]),n("ul",e._l(e.todoUndoneList,function(r){return n("li",[n("UserAvatar",{attrs:{userid:r.userid,size:26,showName:""}})],1)}),0)])],1),n("div",{staticClass:"popover-reference",attrs:{slot:"reference"},slot:"reference"})]),e.todoLoad>0?n("Loading"):n("i",{staticClass:"taskfont"},[e._v("\uE7B7")])],1):e._e(),e.msgData.modify?n("div",{staticClass:"modify"},[n("i",{staticClass:"taskfont"},[e._v("\uE779")])]):e._e(),e.msgData.error===!0?n("div",{staticClass:"error",on:{click:e.onError}},[n("Icon",{attrs:{type:"ios-alert"}})],1):e.isLoading?n("Loading"):[e.timeShow?n("div",{staticClass:"time",on:{click:function(r){e.timeShow=!1}}},[e._v(e._s(e.msgData.created_at))]):n("div",{staticClass:"time",attrs:{title:e.msgData.created_at},on:{click:function(r){e.timeShow=!0}}},[e._v(e._s(e.$A.formatTime(e.msgData.created_at)))]),e.hidePercentage?e._e():[e.msgData.send>1||e.dialogType==="group"?n("div",{staticClass:"percent",on:{click:e.openReadPercentage}},[n("EPopover",{ref:"percent",attrs:{"popper-class":"dialog-wrapper-read-poptip",placement:e.isRightMsg?"bottom-end":"bottom-start"},model:{value:e.percentageShow,callback:function(r){e.percentageShow=r},expression:"percentageShow"}},[n("div",{staticClass:"read-poptip-content"},[n("Scrollbar",{attrs:{"class-name":"read"}},[n("div",{staticClass:"read-title"},[n("em",[e._v(e._s(e.readList.length))]),e._v(e._s(e.$L("\u5DF2\u8BFB")))]),n("ul",e._l(e.readList,function(r){return n("li",[n("UserAvatar",{attrs:{userid:r.userid,size:26,showName:""}})],1)}),0)]),n("Scrollbar",{attrs:{"class-name":"unread"}},[n("div",{staticClass:"read-title"},[n("em",[e._v(e._s(e.unreadList.length))]),e._v(e._s(e.$L("\u672A\u8BFB")))]),n("ul",e._l(e.unreadList,function(r){return n("li",[n("UserAvatar",{attrs:{userid:r.userid,size:26,showName:""}})],1)}),0)])],1),n("div",{staticClass:"popover-reference",attrs:{slot:"reference"},slot:"reference"})]),e.percentageLoad>0?n("Loading"):n("WCircle",{attrs:{percent:e.msgData.percentage,size:14}})],1):e.msgData.percentage===100?n("Icon",{staticClass:"done",attrs:{type:"md-done-all"}}):n("Icon",{staticClass:"done",attrs:{type:"md-checkmark"}})]]],2)])},yZ=[];const CZ={name:"DialogView",components:{DialogMarkdown:vZ,WCircle:nd},directives:{longpress:za},props:{msgData:{type:Object,default:()=>({})},dialogType:{type:String,default:""},hidePercentage:{type:Boolean,default:!1},hideReply:{type:Boolean,default:!1},operateVisible:{type:Boolean,default:!1},operateAction:{type:Boolean,default:!1},isRightMsg:{type:Boolean,default:!1}},data(){return{timeShow:!1,operateEnter:!1,percentageLoad:0,percentageShow:!1,percentageList:[],todoLoad:0,todoShow:!1,todoList:[],emojiUsersNum:5}},mounted(){this.emojiUsersNum=Math.min(6,Math.max(2,Math.floor((this.windowWidth-180)/52)))},beforeDestroy(){this.$store.dispatch("audioStop",this.msgData.msg.path)},computed:{...jn(["loads","audioPlaying"]),...Cl(["isLoad"]),isLoading(){return this.msgData.created_at?this.isLoad(`msg-${this.msgData.id}`):!0},viewClass(){const{msgData:e,operateAction:t,operateEnter:n}=this,r=[];return e.type&&r.push(e.type),e.reply_data&&r.push("reply-view"),t&&(r.push("operate-action"),n&&r.push("operate-enter")),r},readList(){return this.percentageList.filter(({read_at:e})=>e)},unreadList(){return this.percentageList.filter(({read_at:e})=>!e)},todoDoneList(){return this.todoList.filter(({done_at:e})=>e)},todoUndoneList(){return this.todoList.filter(({done_at:e})=>!e)},headClass(){const{reply_id:e,type:t,msg:n,emoji:r}=this.msgData,a=[];return e===0&&$A.arrayLength(r)===0&&t==="text"&&(/^]*?>$/.test(n.text)||/^\s*

\s*([\uD800-\uDBFF][\uDC00-\uDFFF]){1,3}\s*<\/p>\s*$/.test(n.text))&&a.push("transparent"),a},contentClass(){const{type:e,msg:t}=this.msgData,n=[];return e==="text"&&(/^]*?>$/.test(t.text)?n.push("an-emoticon"):/^\s*

\s*([\uD800-\uDBFF][\uDC00-\uDFFF]){3}\s*<\/p>\s*$/.test(t.text)?n.push("three-emoji"):/^\s*

\s*([\uD800-\uDBFF][\uDC00-\uDFFF]){2}\s*<\/p>\s*$/.test(t.text)?n.push("two-emoji"):/^\s*

\s*[\uD800-\uDBFF][\uDC00-\uDFFF]\s*<\/p>\s*$/.test(t.text)&&n.push("an-emoji")),n}},watch:{operateAction(e){this.operateEnter=!1,e&&setTimeout(t=>this.operateEnter=!0,500)}},methods:{handleLongpress(e,t){this.$emit("on-longpress",{event:e,el:t,msgData:this.msgData})},openTodo(){if(!(this.todoLoad>0)){if(this.todoShow){this.todoShow=!1;return}this.todoLoad++,this.$store.dispatch("call",{url:"dialog/msg/todolist",data:{msg_id:this.msgData.id}}).then(({data:e})=>{this.todoList=e}).catch(()=>{this.todoList=[]}).finally(e=>{setTimeout(()=>{this.todoLoad--,this.todoShow=!0},100)})}},openReadPercentage(){if(!(this.percentageLoad>0)){if(this.percentageShow){this.percentageShow=!1;return}this.percentageLoad++,this.$store.dispatch("call",{url:"dialog/msg/readlist",data:{msg_id:this.msgData.id}}).then(({data:e})=>{this.percentageList=e}).catch(()=>{this.percentageList=[]}).finally(e=>{setTimeout(()=>{this.percentageLoad--,this.percentageShow=!0},100)})}},recordStyle(e){const{duration:t}=e;return{width:50+Math.min(180,Math.floor(t/150))+"px"}},recordDuration(e){const t=Math.floor(e/6e4),n=Math.floor(e/1e3)%60;return t>0?`${t}:${n}\u2033`:`${Math.max(1,n)}\u2033`},imageStyle(e){const{width:t,height:n}=e;if(t&&n){let r=220,a=220,l=t,u=n;return(t>r||n>a)&&(t>n?(l=r,u=n*(r/t)):(l=t*(a/n),u=a)),{width:l+"px",height:u+"px"}}return{}},playRecord(){this.operateVisible||this.$store.dispatch("audioPlay",this.msgData.msg.path)},openMeeting(){this.operateVisible||_i.Store.set("addMeeting",{type:"join",name:this.msgData.msg.name,meetingid:this.msgData.msg.meetingid,meetingdisabled:!0})},viewReply(){this.$emit("on-view-reply",{msg_id:this.msgData.id,reply_id:this.msgData.reply_id})},viewText(e){this.$emit("on-view-text",e,this.$refs.content)},viewFile(){this.$emit("on-view-file",this.msgData)},downFile(){this.$emit("on-down-file",this.msgData)},replyList(){this.$emit("on-reply-list",{msg_id:this.msgData.id})},onError(){this.$emit("on-error",this.msgData)},onEmoji(e){this.$emit("on-emoji",{msg_id:this.msgData.id,symbol:e})},onShowEmojiUser(e){this.$emit("on-show-emoji-user",e)}}},dl={};var RZ=Kt(CZ,TZ,yZ,!1,OZ,null,null,null);function OZ(e){for(let t in dl)this[t]=dl[t]}var NZ=function(){return RZ.exports}(),AZ=function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("div",{class:e.classArray},[e.isUnreadStart?n("div",{staticClass:"dialog-unread-label"},[n("em"),n("span",[e._v(e._s(e.$L("\u4EE5\u4E0B\u4E3A\u65B0\u6D88\u606F")))]),n("em")]):e._e(),e.source.type==="tag"?n("div",{staticClass:"dialog-tag",on:{click:e.onViewTag}},[n("div",{staticClass:"tag-user"},[n("UserAvatar",{attrs:{userid:e.source.userid,"show-name":!0,"show-icon":!1}})],1),e._v(" "+e._s(e.$L(e.source.msg.action==="remove"?"\u53D6\u6D88\u6807\u6CE8":"\u6807\u6CE8\u4E86"))+' "'+e._s(e.$A.getMsgSimpleDesc(e.source.msg.data))+'" ')]):e.source.type==="todo"?n("div",{staticClass:"dialog-todo",on:{click:e.onViewTodo}},[n("div",{staticClass:"todo-user"},[n("UserAvatar",{attrs:{userid:e.source.userid,"show-name":!0,"show-icon":!1}})],1),e._v(" "+e._s(e.$L(e.source.msg.action==="remove"?"\u53D6\u6D88\u5F85\u529E":e.source.msg.action==="done"?"\u5B8C\u6210":"\u8BBE\u5F85\u529E"))+' "'+e._s(e.$A.getMsgSimpleDesc(e.source.msg.data))+'" '),e.formatTodoUser(e.source.msg.data).length>0?n("div",{staticClass:"todo-users"},[n("span",[e._v(e._s(e.$L("\u7ED9")))]),e._l(e.formatTodoUser(e.source.msg.data),function(r,a){return[a<3?n("div",{staticClass:"todo-user"},[n("UserAvatar",{attrs:{userid:r,"show-name":!0,"show-icon":!1}})],1):a==3?n("div",{staticClass:"todo-user"},[e._v("+"+e._s(e.formatTodoUser(e.source.msg.data).length-3))]):e._e()]})],2):e._e()]):e.source.type==="notice"?n("div",{staticClass:"dialog-notice"},[e._v(" "+e._s(e.source.msg.notice)+" ")]):[n("div",{staticClass:"dialog-avatar"},[n("UserAvatar",{directives:[{name:"longpress",rawName:"v-longpress",value:{callback:e.onMention,delay:300},expression:"{callback: onMention, delay: 300}"}],attrs:{userid:e.source.userid,size:30},on:{"open-dialog":e.onOpenDialog}})],1),n("DialogView",{attrs:{"msg-data":e.source,"dialog-type":e.dialogData.type,"hide-percentage":e.hidePercentage,"hide-reply":e.hideReply,"operate-visible":e.operateVisible,"operate-action":e.operateVisible&&e.source.id===e.operateItem.id,"is-right-msg":e.isRightMsg},on:{"on-longpress":e.onLongpress,"on-view-reply":e.onViewReply,"on-view-text":e.onViewText,"on-view-file":e.onViewFile,"on-down-file":e.onDownFile,"on-reply-list":e.onReplyList,"on-error":e.onError,"on-emoji":e.onEmoji,"on-show-emoji-user":e.onShowEmojiUser}})]],2)},IZ=[];const DZ={name:"DialogItem",components:{DialogView:NZ},directives:{longpress:za},props:{source:{type:Object,default(){return{}}},dialogData:{type:Object,default(){return{}}},operateVisible:{type:Boolean,default:!1},operateItem:{type:Object,default(){return{}}},simpleView:{type:Boolean,default:!1},isMyDialog:{type:Boolean,default:!1},msgId:{type:Number,default:0},unreadMsgId:{type:Number,default:0},scrollIng:{type:Number,default:0},msgReady:{type:Boolean,default:!1}},computed:{...jn(["userId"]),isRightMsg(){return this.source.userid==this.$store.state.userId},isReply(){return this.simpleView||this.msgId===this.source.id},isNoRead(){return this.isRightMsg||this.source.read_at},isUnreadStart(){return this.unreadMsgId===this.source.id},hidePercentage(){return this.simpleView||this.isMyDialog||this.isReply},hideReply(){return this.simpleView||this.msgId>0},classArray(){return{"dialog-item":!0,"reply-item":this.isReply,"unread-start":this.isUnreadStart,self:this.isRightMsg}}},watch:{msgReady(){this.msgRead()},windowActive(){this.msgRead()},scrollIng(){this.msgRead()}},methods:{msgRead(){var e;this.isNoRead||!this.msgReady||!this.windowActive||!((e=this.$el)!=null&&e.parentNode.classList.contains("item-enter"))||(this.$store.dispatch("dialogMsgRead",this.source),this.isUnreadStart&&$A.getDialogUnread(this.dialogData,!0)>0&&this.$store.dispatch("dialogMsgMark",{dialog_id:this.source.dialog_id,type:"read",after_msg_id:this.source.id}))},formatTodoUser(e){if($A.isJson(e)){const{userids:t}=e;if(t)return t.split(",")}return[]},onViewTag(){this.onViewReply({msg_id:this.source.id,reply_id:this.source.msg.data.id})},onViewTodo(){this.onViewReply({msg_id:this.source.id,reply_id:this.source.msg.data.id})},onOpenDialog(e){this.dialogData.type=="group"&&this.$store.dispatch("openDialogUserid",e).then(t=>{this.goForward({name:"manage-messenger"})}).catch(({msg:t})=>{$A.modalError(t)})},onMention(){this.dispatch("on-mention",this.source)},onLongpress(e){this.dispatch("on-longpress",e)},onViewReply(e){this.dispatch("on-view-reply",e)},onViewText(e,t){this.dispatch("on-view-text",e,t)},onViewFile(e){this.dispatch("on-view-file",e)},onDownFile(e){this.dispatch("on-down-file",e)},onReplyList(e){this.dispatch("on-reply-list",e)},onError(e){this.dispatch("on-error",e)},onEmoji(e){this.dispatch("on-emoji",e)},onShowEmojiUser(e){this.dispatch("on-show-emoji-user",e)},dispatch(e,...t){if(this.isReply){this.$emit(e,...t);return}let n=this.$parent,r=n.$options.name;for(;n&&(!r||r!=="virtual-list");)n=n.$parent,n&&(r=n.$options.name);n&&n.$emit(e,...t)}}},_l={};var xZ=Kt(DZ,AZ,IZ,!1,wZ,null,null,null);function wZ(e){for(let t in _l)this[t]=_l[t]}var pl=function(){return xZ.exports}(),MZ=function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("Upload",{ref:"upload",attrs:{name:"files",action:e.actionUrl,headers:e.headers,data:e.params,multiple:"",format:e.uploadFormat,"show-upload-list":!1,"max-size":e.maxSize,"on-progress":e.handleProgress,"on-success":e.handleSuccess,"on-format-error":e.handleFormatError,"on-exceeded-size":e.handleMaxSize}})},LZ=[];const kZ={name:"DialogUpload",props:{dialogId:{type:Number,default:0},maxSize:{type:Number,default:1024e3}},data(){return{uploadFormat:[],actionUrl:$A.apiUrl("dialog/msg/sendfile")}},computed:{...jn(["cacheDialogs"]),headers(){return{fd:$A.getSessionStorageString("userWsFd"),token:this.userToken}},params(){return{dialog_id:this.dialogId,reply_id:this.dialogData.extra_quote_id||0}},dialogData(){return this.cacheDialogs.find(({id:e})=>e==this.dialogId)||{}}},methods:{handleProgress(e,t){t.tempId===void 0&&(this.$parent.$options.name==="DialogWrapper"?t.tempId=this.$parent.getTempId():t.tempId=$A.randNum(1e9,9999999999),this.$emit("on-progress",t))},handleSuccess(e,t){e.ret===1?(t.data=e.data,this.$emit("on-success",t),e.data.task_id&&this.$store.dispatch("getTaskFiles",e.data.task_id)):($A.modalWarning({title:"\u53D1\u9001\u5931\u8D25",content:"\u6587\u4EF6 "+t.name+" \u53D1\u9001\u5931\u8D25\uFF0C"+e.msg}),this.$emit("on-error",t),this.$refs.upload.fileList.pop())},handleFormatError(e){$A.modalWarning({title:"\u6587\u4EF6\u683C\u5F0F\u4E0D\u6B63\u786E",content:"\u6587\u4EF6 "+e.name+" \u683C\u5F0F\u4E0D\u6B63\u786E\uFF0C\u4EC5\u652F\u6301\u53D1\u9001\uFF1A"+this.uploadFormat.join(",")})},handleMaxSize(e){$A.modalWarning({title:"\u8D85\u51FA\u6587\u4EF6\u5927\u5C0F\u9650\u5236",content:"\u6587\u4EF6 "+e.name+" \u592A\u5927\uFF0C\u4E0D\u80FD\u53D1\u9001\u8D85\u8FC7"+$A.bytesToSize(this.maxSize*1024)+"\u3002"})},handleClick(){this.$refs.upload.handleClick()},upload(e){this.$refs.upload.upload(e)}}},ml={};var PZ=Kt(kZ,MZ,LZ,!1,BZ,null,null,null);function BZ(e){for(let t in ml)this[t]=ml[t]}var FZ=function(){return PZ.exports}(),UZ=function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("div",{staticClass:"dialog-group-info"},[n("div",{staticClass:"group-info-title"},[e._v(e._s(e.$L("\u7FA4\u540D")))]),n("div",{staticClass:"group-info-value"},[n("QuickEdit",{attrs:{value:e.dialogData.name,disabled:e.dialogData.owner_id!=e.userId},on:{"on-update":e.updateName}},[e._v(e._s(e.dialogData.name))])],1),n("div",{staticClass:"group-info-title"},[e._v(e._s(e.$L("\u7FA4\u7C7B\u578B")))]),n("div",{staticClass:"group-info-value"},[e._v(e._s(e.$L(e.groupType)))]),n("div",{staticClass:"group-info-search"},[n("Input",{attrs:{prefix:"ios-search",placeholder:e.$L("\u641C\u7D22\u6210\u5458"),clearable:""},model:{value:e.searchKey,callback:function(r){e.searchKey=r},expression:"searchKey"}})],1),n("div",{staticClass:"group-info-user"},[n("ul",[e._l(e.userList,function(r,a){return n("li",{key:a,on:{click:function(l){return e.openUser(r.userid)}}},[n("UserAvatar",{attrs:{userid:r.userid,size:32,showName:""}}),r.userid===e.dialogData.owner_id?n("div",{staticClass:"user-tag"},[e._v(e._s(e.$L("\u7FA4\u4E3B")))]):e.operableExit(r)?n("div",{staticClass:"user-exit",on:{click:function(l){return l.stopPropagation(),e.onExit(r)}}},[n("Icon",{attrs:{type:"md-exit"}})],1):e._e()],1)}),e.userList.length===0?n("li",{staticClass:"no"},[e.loadIng>0?n("Loading"):n("span",[e._v(e._s(e.$L("\u6CA1\u6709\u7B26\u5408\u6761\u4EF6\u7684\u6570\u636E")))])],1):e._e()],2)]),e.operableAdd?n("div",{staticClass:"group-info-button"},[e.dialogData.owner_id==e.userId||e.dialogData.owner_id==0?n("Button",{attrs:{type:"primary",icon:"md-add"},on:{click:e.openAdd}},[e._v(e._s(e.$L("\u6DFB\u52A0\u6210\u5458")))]):e._e()],1):e._e(),n("Modal",{attrs:{title:e.$L("\u6DFB\u52A0\u7FA4\u6210\u5458"),"mask-closable":!1},model:{value:e.addShow,callback:function(r){e.addShow=r},expression:"addShow"}},[n("Form",{attrs:{model:e.addData,"label-width":"auto"},nativeOn:{submit:function(r){r.preventDefault()}}},[n("FormItem",{attrs:{prop:"userids",label:e.$L("\u65B0\u589E\u6210\u5458")}},[n("UserSelect",{attrs:{disabledChoice:e.addData.disabledChoice,"multiple-max":100,"show-bot":"",title:e.$L("\u9009\u62E9\u6210\u5458")},model:{value:e.addData.userids,callback:function(r){e.$set(e.addData,"userids",r)},expression:"addData.userids"}}),e.dialogData.group_type==="department"?n("div",{staticClass:"form-tip"},[e._v(e._s(e.$L("\u6B64\u64CD\u4F5C\u4EC5\u52A0\u5165\u7FA4\u6210\u5458\u5E76\u4E0D\u4F1A\u52A0\u5165\u90E8\u95E8")))]):e.dialogData.group_type==="project"?n("div",{staticClass:"form-tip"},[e._v(e._s(e.$L("\u6B64\u64CD\u4F5C\u4EC5\u52A0\u5165\u7FA4\u6210\u5458\u5E76\u4E0D\u4F1A\u52A0\u5165\u9879\u76EE")))]):e.dialogData.group_type==="task"?n("div",{staticClass:"form-tip"},[e._v(e._s(e.$L("\u6B64\u64CD\u4F5C\u4EC5\u52A0\u5165\u7FA4\u6210\u5458\u5E76\u4E0D\u4F1A\u52A0\u5165\u4EFB\u52A1\u8D1F\u8D23\u4EBA")))]):e._e()],1)],1),n("div",{staticClass:"adaption",attrs:{slot:"footer"},slot:"footer"},[n("Button",{attrs:{type:"default"},on:{click:function(r){e.addShow=!1}}},[e._v(e._s(e.$L("\u53D6\u6D88")))]),n("Button",{attrs:{type:"primary",loading:e.addLoad>0},on:{click:e.onAdd}},[e._v(e._s(e.$L("\u786E\u5B9A\u6DFB\u52A0")))])],1)],1)],1)},GZ=[];const qZ={name:"DialogGroupInfo",components:{UserSelect:Rl},props:{dialogId:{type:Number,default:0}},data(){return{searchKey:"",loadIng:0,dialogUser:[],addShow:!1,addData:{},addLoad:0,openIng:!1}},computed:{...jn(["cacheDialogs","cacheUserBasic","userIsAdmin"]),dialogData(){return this.cacheDialogs.find(({id:e})=>e==this.dialogId)||{}},groupType(){const{group_type:e}=this.dialogData;return e==="department"?"\u90E8\u95E8\u7FA4\u7EC4":e==="project"?"\u9879\u76EE\u7FA4\u7EC4":e==="task"?"\u4EFB\u52A1\u7FA4\u7EC4":e==="user"?"\u4E2A\u4EBA\u7FA4\u7EC4":e==="all"?"\u5168\u5458\u7FA4\u7EC4":e==="okr"?"OKR\u7FA4\u7EC4":"\u672A\u77E5"},userList(){const{dialogUser:e,searchKey:t,cacheUserBasic:n,dialogData:r}=this;return e.map(l=>{const u=n.find(c=>c.userid==l.userid);return u&&(l.nickname=u.nickname,l.email=u.email),l}).filter(l=>!(t&&l.nickname&&!$A.strExists(l.nickname,t)&&!$A.strExists(l.email,t))).sort((l,u)=>l.userid===r.owner_id||u.userid===r.owner_id?(l.userid===r.owner_id?0:1)-(u.userid===r.owner_id?0:1):$A.Date(l.created_at)-$A.Date(u.created_at))}},watch:{dialogId:{handler(){this.getDialogUser()},immediate:!0}},methods:{updateName(e,t){if(!e){t();return}this.$store.dispatch("call",{url:"dialog/group/edit",data:{dialog_id:this.dialogId,chat_name:e}}).then(({data:n})=>{this.$store.dispatch("saveDialog",n),t()}).catch(({msg:n})=>{$A.modalError(n),t()})},getDialogUser(){this.dialogId<=0||(this.loadIng++,this.$store.dispatch("call",{url:"dialog/user",data:{dialog_id:this.dialogId}}).then(({data:e})=>{this.dialogUser=e,this.$store.dispatch("saveDialog",{id:this.dialogId,people:e.length})}).catch(({msg:e})=>{$A.modalError(e)}).finally(e=>{this.loadIng--}))},operableAdd(){const{owner_id:e,group_type:t}=this.dialogData;return t=="all"?this.userIsAdmin:[0,this.userId].includes(e)},openAdd(){this.addData={dialog_id:this.dialogId,userids:[],disabledChoice:this.dialogUser.map(e=>e.userid)},this.addShow=!0},onAdd(){this.addLoad++,this.$store.dispatch("call",{url:"dialog/group/adduser",data:this.addData}).then(({msg:e})=>{$A.messageSuccess(e),this.addShow=!1,this.addData={},this.getDialogUser()}).catch(({msg:e})=>{$A.modalError(e)}).finally(e=>{this.addLoad--})},operableExit(e){const{owner_id:t,group_type:n}=this.dialogData;return n=="all"?this.userIsAdmin:t==this.userId||e.inviter==this.userId},onExit(e){let t="\u4F60\u786E\u5B9A\u8981\u9000\u51FA\u7FA4\u7EC4\u5417\uFF1F",n=[];$A.isJson(e)&&e.userid!=this.userId&&(t=`\u4F60\u786E\u5B9A\u8981\u5C06\u3010${e.nickname}\u3011\u79FB\u51FA\u7FA4\u7EC4\u5417\uFF1F`,n=[e.userid]),$A.modalConfirm({content:t,loading:!0,onOk:()=>new Promise((r,a)=>{this.$store.dispatch("call",{url:"dialog/group/deluser",data:{dialog_id:this.dialogId,userids:n}}).then(({msg:l})=>{r(l),n.length>0?this.getDialogUser():(this.$store.dispatch("forgetDialog",this.dialogId),this.goForward({name:"manage-messenger"}))}).catch(({msg:l})=>{a(l)})})})},openUser(e){this.openIng||(this.openIng=!0,this.$store.dispatch("openDialogUserid",e).then(t=>{this.$emit("on-close")}).catch(({msg:t})=>{$A.modalError(t)}).finally(t=>{this.openIng=!1}))}}},fl={};var YZ=Kt(qZ,UZ,GZ,!1,zZ,null,null,null);function zZ(e){for(let t in fl)this[t]=fl[t]}var $Z=function(){return YZ.exports}(),HZ=function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("div",{staticClass:"dialog-respond"},[n("div",{staticClass:"respond-title"},[n("em",{staticClass:"no-dark-content"},[e._v(e._s(e.respondData.symbol))]),e._v(e._s(e.$L("\u56DE\u5E94\u8BE6\u60C5"))+" ("+e._s(e.respondData.userids.length)+")")]),n("div",{staticClass:"respond-user"},[n("ul",e._l(e.respondData.userids,function(r,a){return n("li",{key:a,on:{click:function(l){return e.openUser(r)}}},[n("UserAvatar",{attrs:{userid:r,size:32,showName:""}})],1)}),0)])])},VZ=[];const WZ={name:"DialogRespond",props:{respondData:{type:Object,default:()=>({})}},data(){return{openIng:!1}},methods:{openUser(e){this.openIng||(this.openIng=!0,this.$store.dispatch("openDialogUserid",e).then(t=>{this.$emit("on-close")}).catch(({msg:t})=>{$A.modalError(t)}).finally(t=>{this.openIng=!1}))}}},gl={};var KZ=Kt(WZ,HZ,VZ,!1,QZ,null,null,null);function QZ(e){for(let t in gl)this[t]=gl[t]}var jZ=function(){return KZ.exports}(),Rc={exports:{}};/*! * Quill Editor v1.3.7 * https://quilljs.com/ * Copyright (c) 2014, Jason Chen * Copyright (c) 2013, salesforce.com */(function(e,t){(function(r,a){e.exports=a()})(typeof self!="undefined"?self:Ya,function(){return function(n){var r={};function a(l){if(r[l])return r[l].exports;var u=r[l]={i:l,l:!1,exports:{}};return n[l].call(u.exports,u,u.exports,a),u.l=!0,u.exports}return a.m=n,a.c=r,a.d=function(l,u,c){a.o(l,u)||Object.defineProperty(l,u,{configurable:!1,enumerable:!0,get:c})},a.n=function(l){var u=l&&l.__esModule?function(){return l.default}:function(){return l};return a.d(u,"a",u),u},a.o=function(l,u){return Object.prototype.hasOwnProperty.call(l,u)},a.p="",a(a.s=109)}([function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=a(17),u=a(18),c=a(19),d=a(45),g=a(46),f=a(47),h=a(48),p=a(49),m=a(12),y=a(32),b=a(33),C=a(31),E=a(1),v={Scope:E.Scope,create:E.create,find:E.find,query:E.query,register:E.register,Container:l.default,Format:u.default,Leaf:c.default,Embed:h.default,Scroll:d.default,Block:f.default,Inline:g.default,Text:p.default,Attributor:{Attribute:m.default,Class:y.default,Style:b.default,Store:C.default}};r.default=v},function(n,r,a){var l=this&&this.__extends||function(){var C=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(E,v){E.__proto__=v}||function(E,v){for(var O in v)v.hasOwnProperty(O)&&(E[O]=v[O])};return function(E,v){C(E,v);function O(){this.constructor=E}E.prototype=v===null?Object.create(v):(O.prototype=v.prototype,new O)}}();Object.defineProperty(r,"__esModule",{value:!0});var u=function(C){l(E,C);function E(v){var O=this;return v="[Parchment] "+v,O=C.call(this,v)||this,O.message=v,O.name=O.constructor.name,O}return E}(Error);r.ParchmentError=u;var c={},d={},g={},f={};r.DATA_KEY="__blot";var h;(function(C){C[C.TYPE=3]="TYPE",C[C.LEVEL=12]="LEVEL",C[C.ATTRIBUTE=13]="ATTRIBUTE",C[C.BLOT=14]="BLOT",C[C.INLINE=7]="INLINE",C[C.BLOCK=11]="BLOCK",C[C.BLOCK_BLOT=10]="BLOCK_BLOT",C[C.INLINE_BLOT=6]="INLINE_BLOT",C[C.BLOCK_ATTRIBUTE=9]="BLOCK_ATTRIBUTE",C[C.INLINE_ATTRIBUTE=5]="INLINE_ATTRIBUTE",C[C.ANY=15]="ANY"})(h=r.Scope||(r.Scope={}));function p(C,E){var v=y(C);if(v==null)throw new u("Unable to create "+C+" blot");var O=v,S=C instanceof Node||C.nodeType===Node.TEXT_NODE?C:O.create(E);return new O(S,E)}r.create=p;function m(C,E){return E===void 0&&(E=!1),C==null?null:C[r.DATA_KEY]!=null?C[r.DATA_KEY].blot:E?m(C.parentNode,E):null}r.find=m;function y(C,E){E===void 0&&(E=h.ANY);var v;if(typeof C=="string")v=f[C]||c[C];else if(C instanceof Text||C.nodeType===Node.TEXT_NODE)v=f.text;else if(typeof C=="number")C&h.LEVEL&h.BLOCK?v=f.block:C&h.LEVEL&h.INLINE&&(v=f.inline);else if(C instanceof HTMLElement){var O=(C.getAttribute("class")||"").split(/\s+/);for(var S in O)if(v=d[O[S]],v)break;v=v||g[C.tagName]}return v==null?null:E&h.LEVEL&v.scope&&E&h.TYPE&v.scope?v:null}r.query=y;function b(){for(var C=[],E=0;E1)return C.map(function(S){return b(S)});var v=C[0];if(typeof v.blotName!="string"&&typeof v.attrName!="string")throw new u("Invalid definition");if(v.blotName==="abstract")throw new u("Cannot register abstract class");if(f[v.blotName||v.attrName]=v,typeof v.keyName=="string")c[v.keyName]=v;else if(v.className!=null&&(d[v.className]=v),v.tagName!=null){Array.isArray(v.tagName)?v.tagName=v.tagName.map(function(S){return S.toUpperCase()}):v.tagName=v.tagName.toUpperCase();var O=Array.isArray(v.tagName)?v.tagName:[v.tagName];O.forEach(function(S){(g[S]==null||v.className==null)&&(g[S]=v)})}return v}r.register=b},function(n,r,a){var l=a(51),u=a(11),c=a(3),d=a(20),g=String.fromCharCode(0),f=function(h){Array.isArray(h)?this.ops=h:h!=null&&Array.isArray(h.ops)?this.ops=h.ops:this.ops=[]};f.prototype.insert=function(h,p){var m={};return h.length===0?this:(m.insert=h,p!=null&&typeof p=="object"&&Object.keys(p).length>0&&(m.attributes=p),this.push(m))},f.prototype.delete=function(h){return h<=0?this:this.push({delete:h})},f.prototype.retain=function(h,p){if(h<=0)return this;var m={retain:h};return p!=null&&typeof p=="object"&&Object.keys(p).length>0&&(m.attributes=p),this.push(m)},f.prototype.push=function(h){var p=this.ops.length,m=this.ops[p-1];if(h=c(!0,{},h),typeof m=="object"){if(typeof h.delete=="number"&&typeof m.delete=="number")return this.ops[p-1]={delete:m.delete+h.delete},this;if(typeof m.delete=="number"&&h.insert!=null&&(p-=1,m=this.ops[p-1],typeof m!="object"))return this.ops.unshift(h),this;if(u(h.attributes,m.attributes)){if(typeof h.insert=="string"&&typeof m.insert=="string")return this.ops[p-1]={insert:m.insert+h.insert},typeof h.attributes=="object"&&(this.ops[p-1].attributes=h.attributes),this;if(typeof h.retain=="number"&&typeof m.retain=="number")return this.ops[p-1]={retain:m.retain+h.retain},typeof h.attributes=="object"&&(this.ops[p-1].attributes=h.attributes),this}}return p===this.ops.length?this.ops.push(h):this.ops.splice(p,0,h),this},f.prototype.chop=function(){var h=this.ops[this.ops.length-1];return h&&h.retain&&!h.attributes&&this.ops.pop(),this},f.prototype.filter=function(h){return this.ops.filter(h)},f.prototype.forEach=function(h){this.ops.forEach(h)},f.prototype.map=function(h){return this.ops.map(h)},f.prototype.partition=function(h){var p=[],m=[];return this.forEach(function(y){var b=h(y)?p:m;b.push(y)}),[p,m]},f.prototype.reduce=function(h,p){return this.ops.reduce(h,p)},f.prototype.changeLength=function(){return this.reduce(function(h,p){return p.insert?h+d.length(p):p.delete?h-p.delete:h},0)},f.prototype.length=function(){return this.reduce(function(h,p){return h+d.length(p)},0)},f.prototype.slice=function(h,p){h=h||0,typeof p!="number"&&(p=1/0);for(var m=[],y=d.iterator(this.ops),b=0;b0&&m.next(b.retain-C)}for(var E=new f(y);p.hasNext()||m.hasNext();)if(m.peekType()==="insert")E.push(m.next());else if(p.peekType()==="delete")E.push(p.next());else{var v=Math.min(p.peekLength(),m.peekLength()),O=p.next(v),S=m.next(v);if(typeof S.retain=="number"){var A={};typeof O.retain=="number"?A.retain=v:A.insert=O.insert;var P=d.attributes.compose(O.attributes,S.attributes,typeof O.retain=="number");if(P&&(A.attributes=P),E.push(A),!m.hasNext()&&u(E.ops[E.ops.length-1],A)){var F=new f(p.rest());return E.concat(F).chop()}}else typeof S.delete=="number"&&typeof O.retain=="number"&&E.push(S)}return E.chop()},f.prototype.concat=function(h){var p=new f(this.ops.slice());return h.ops.length>0&&(p.push(h.ops[0]),p.ops=p.ops.concat(h.ops.slice(1))),p},f.prototype.diff=function(h,p){if(this.ops===h.ops)return new f;var m=[this,h].map(function(v){return v.map(function(O){if(O.insert!=null)return typeof O.insert=="string"?O.insert:g;var S=v===h?"on":"with";throw new Error("diff() called "+S+" non-document")}).join("")}),y=new f,b=l(m[0],m[1],p),C=d.iterator(this.ops),E=d.iterator(h.ops);return b.forEach(function(v){for(var O=v[1].length;O>0;){var S=0;switch(v[0]){case l.INSERT:S=Math.min(E.peekLength(),O),y.push(E.next(S));break;case l.DELETE:S=Math.min(O,C.peekLength()),C.next(S),y.delete(S);break;case l.EQUAL:S=Math.min(C.peekLength(),E.peekLength(),O);var A=C.next(S),P=E.next(S);u(A.insert,P.insert)?y.retain(S,d.attributes.diff(A.attributes,P.attributes)):y.push(P).delete(S);break}O-=S}}),y.chop()},f.prototype.eachLine=function(h,p){p=p||` `;for(var m=d.iterator(this.ops),y=new f,b=0;m.hasNext();){if(m.peekType()!=="insert")return;var C=m.peek(),E=d.length(C)-m.peekLength(),v=typeof C.insert=="string"?C.insert.indexOf(p,E)-E:-1;if(v<0)y.push(m.next());else if(v>0)y.push(m.next(v));else{if(h(y,m.next(1).attributes||{},b)===!1)return;b+=1,y=new f}}y.length()>0&&h(y,{},b)},f.prototype.transform=function(h,p){if(p=!!p,typeof h=="number")return this.transformPosition(h,p);for(var m=d.iterator(this.ops),y=d.iterator(h.ops),b=new f;m.hasNext()||y.hasNext();)if(m.peekType()==="insert"&&(p||y.peekType()!=="insert"))b.retain(d.length(m.next()));else if(y.peekType()==="insert")b.push(y.next());else{var C=Math.min(m.peekLength(),y.peekLength()),E=m.next(C),v=y.next(C);if(E.delete)continue;v.delete?b.push(v):b.retain(C,d.attributes.transform(E.attributes,v.attributes,p))}return b.chop()},f.prototype.transformPosition=function(h,p){p=!!p;for(var m=d.iterator(this.ops),y=0;m.hasNext()&&y<=h;){var b=m.peekLength(),C=m.peekType();if(m.next(),C==="delete"){h-=Math.min(b,h-y);continue}else C==="insert"&&(y0&&(x1&&arguments[1]!==void 0?arguments[1]:!1;if(Y&&(x===0||x>=this.length()-F)){var J=this.clone();return x===0?(this.parent.insertBefore(J,this),this):(this.parent.insertBefore(J,this.next),J)}else{var K=u(w.prototype.__proto__||Object.getPrototypeOf(w.prototype),"split",this).call(this,x,Y);return this.cache={},K}}}]),w}(p.default.Block);z.blotName="block",z.tagName="P",z.defaultChild="break",z.allowedChildren=[C.default,p.default.Embed,v.default];function k(L){var w=arguments.length>1&&arguments[1]!==void 0?arguments[1]:{};return L==null||(typeof L.formats=="function"&&(w=(0,d.default)(w,L.formats())),L.parent==null||L.parent.blotName=="scroll"||L.parent.statics.scope!==L.statics.scope)?w:k(L.parent,w)}r.bubbleFormats=k,r.BlockEmbed=G,r.default=z},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0}),r.default=r.overload=r.expandConfig=void 0;var l=typeof Symbol=="function"&&typeof Symbol.iterator=="symbol"?function(Z){return typeof Z}:function(Z){return Z&&typeof Symbol=="function"&&Z.constructor===Symbol&&Z!==Symbol.prototype?"symbol":typeof Z},u=function(){function Z(j,U){var Q=[],X=!0,le=!1,ae=void 0;try{for(var W=j[Symbol.iterator](),ie;!(X=(ie=W.next()).done)&&(Q.push(ie.value),!(U&&Q.length===U));X=!0);}catch(de){le=!0,ae=de}finally{try{!X&&W.return&&W.return()}finally{if(le)throw ae}}return Q}return function(j,U){if(Array.isArray(j))return j;if(Symbol.iterator in Object(j))return Z(j,U);throw new TypeError("Invalid attempt to destructure non-iterable instance")}}(),c=function(){function Z(j,U){for(var Q=0;Q2&&arguments[2]!==void 0?arguments[2]:!1;if(typeof U!="string"){var ae=U.attrName||U.blotName;typeof ae=="string"?this.register("formats/"+ae,U,Q):Object.keys(U).forEach(function(W){X.register(W,U[W],Q)})}else this.imports[U]!=null&&!le&&M.warn("Overwriting "+U+" with",Q),this.imports[U]=Q,(U.startsWith("blots/")||U.startsWith("formats/"))&&Q.blotName!=="abstract"?E.default.register(Q):U.startsWith("modules")&&typeof Q.register=="function"&&Q.register()}}]);function Z(j){var U=this,Q=arguments.length>1&&arguments[1]!==void 0?arguments[1]:{};if(w(this,Z),this.options=Y(j,Q),this.container=this.options.container,this.container==null)return M.error("Invalid Quill container",j);this.options.debug&&Z.debug(this.options.debug);var X=this.container.innerHTML.trim();this.container.classList.add("ql-container"),this.container.innerHTML="",this.container.__quill=this,this.root=this.addContainer("ql-editor"),this.root.classList.add("ql-blank"),this.root.setAttribute("data-gramm",!1),this.scrollingContainer=this.options.scrollingContainer||this.root,this.emitter=new m.default,this.scroll=E.default.create(this.root,{emitter:this.emitter,whitelist:this.options.formats}),this.editor=new h.default(this.scroll),this.selection=new O.default(this.scroll,this.emitter),this.theme=new this.options.theme(this,this.options),this.keyboard=this.theme.addModule("keyboard"),this.clipboard=this.theme.addModule("clipboard"),this.history=this.theme.addModule("history"),this.theme.init(),this.emitter.on(m.default.events.EDITOR_CHANGE,function(ae){ae===m.default.events.TEXT_CHANGE&&U.root.classList.toggle("ql-blank",U.editor.isBlank())}),this.emitter.on(m.default.events.SCROLL_UPDATE,function(ae,W){var ie=U.selection.lastRange,de=ie&&ie.length===0?ie.index:void 0;J.call(U,function(){return U.editor.update(null,W,de)},ae)});var le=this.clipboard.convert(`

`+X+"


");this.setContents(le),this.history.clear(),this.options.placeholder&&this.root.setAttribute("data-placeholder",this.options.placeholder),this.options.readOnly&&this.disable()}return c(Z,[{key:"addContainer",value:function(U){var Q=arguments.length>1&&arguments[1]!==void 0?arguments[1]:null;if(typeof U=="string"){var X=U;U=document.createElement("div"),U.classList.add(X)}return this.container.insertBefore(U,Q),U}},{key:"blur",value:function(){this.selection.setRange(null)}},{key:"deleteText",value:function(U,Q,X){var le=this,ae=K(U,Q,X),W=u(ae,4);return U=W[0],Q=W[1],X=W[3],J.call(this,function(){return le.editor.deleteText(U,Q)},X,U,-1*Q)}},{key:"disable",value:function(){this.enable(!1)}},{key:"enable",value:function(){var U=arguments.length>0&&arguments[0]!==void 0?arguments[0]:!0;this.scroll.enable(U),this.container.classList.toggle("ql-disabled",!U)}},{key:"focus",value:function(){var U=this.scrollingContainer.scrollTop;this.selection.focus(),this.scrollingContainer.scrollTop=U,this.scrollIntoView()}},{key:"format",value:function(U,Q){var X=this,le=arguments.length>2&&arguments[2]!==void 0?arguments[2]:m.default.sources.API;return J.call(this,function(){var ae=X.getSelection(!0),W=new g.default;if(ae==null)return W;if(E.default.query(U,E.default.Scope.BLOCK))W=X.editor.formatLine(ae.index,ae.length,L({},U,Q));else{if(ae.length===0)return X.selection.format(U,Q),W;W=X.editor.formatText(ae.index,ae.length,L({},U,Q))}return X.setSelection(ae,m.default.sources.SILENT),W},le)}},{key:"formatLine",value:function(U,Q,X,le,ae){var W=this,ie=void 0,de=K(U,Q,X,le,ae),ce=u(de,4);return U=ce[0],Q=ce[1],ie=ce[2],ae=ce[3],J.call(this,function(){return W.editor.formatLine(U,Q,ie)},ae,U,0)}},{key:"formatText",value:function(U,Q,X,le,ae){var W=this,ie=void 0,de=K(U,Q,X,le,ae),ce=u(de,4);return U=ce[0],Q=ce[1],ie=ce[2],ae=ce[3],J.call(this,function(){return W.editor.formatText(U,Q,ie)},ae,U,0)}},{key:"getBounds",value:function(U){var Q=arguments.length>1&&arguments[1]!==void 0?arguments[1]:0,X=void 0;typeof U=="number"?X=this.selection.getBounds(U,Q):X=this.selection.getBounds(U.index,U.length);var le=this.container.getBoundingClientRect();return{bottom:X.bottom-le.top,height:X.height,left:X.left-le.left,right:X.right-le.left,top:X.top-le.top,width:X.width}}},{key:"getContents",value:function(){var U=arguments.length>0&&arguments[0]!==void 0?arguments[0]:0,Q=arguments.length>1&&arguments[1]!==void 0?arguments[1]:this.getLength()-U,X=K(U,Q),le=u(X,2);return U=le[0],Q=le[1],this.editor.getContents(U,Q)}},{key:"getFormat",value:function(){var U=arguments.length>0&&arguments[0]!==void 0?arguments[0]:this.getSelection(!0),Q=arguments.length>1&&arguments[1]!==void 0?arguments[1]:0;return typeof U=="number"?this.editor.getFormat(U,Q):this.editor.getFormat(U.index,U.length)}},{key:"getIndex",value:function(U){return U.offset(this.scroll)}},{key:"getLength",value:function(){return this.scroll.length()}},{key:"getLeaf",value:function(U){return this.scroll.leaf(U)}},{key:"getLine",value:function(U){return this.scroll.line(U)}},{key:"getLines",value:function(){var U=arguments.length>0&&arguments[0]!==void 0?arguments[0]:0,Q=arguments.length>1&&arguments[1]!==void 0?arguments[1]:Number.MAX_VALUE;return typeof U!="number"?this.scroll.lines(U.index,U.length):this.scroll.lines(U,Q)}},{key:"getModule",value:function(U){return this.theme.modules[U]}},{key:"getSelection",value:function(){var U=arguments.length>0&&arguments[0]!==void 0?arguments[0]:!1;return U&&this.focus(),this.update(),this.selection.getRange()[0]}},{key:"getText",value:function(){var U=arguments.length>0&&arguments[0]!==void 0?arguments[0]:0,Q=arguments.length>1&&arguments[1]!==void 0?arguments[1]:this.getLength()-U,X=K(U,Q),le=u(X,2);return U=le[0],Q=le[1],this.editor.getText(U,Q)}},{key:"hasFocus",value:function(){return this.selection.hasFocus()}},{key:"insertEmbed",value:function(U,Q,X){var le=this,ae=arguments.length>3&&arguments[3]!==void 0?arguments[3]:Z.sources.API;return J.call(this,function(){return le.editor.insertEmbed(U,Q,X)},ae,U)}},{key:"insertText",value:function(U,Q,X,le,ae){var W=this,ie=void 0,de=K(U,0,X,le,ae),ce=u(de,4);return U=ce[0],ie=ce[2],ae=ce[3],J.call(this,function(){return W.editor.insertText(U,Q,ie)},ae,U,Q.length)}},{key:"isEnabled",value:function(){return!this.container.classList.contains("ql-disabled")}},{key:"off",value:function(){return this.emitter.off.apply(this.emitter,arguments)}},{key:"on",value:function(){return this.emitter.on.apply(this.emitter,arguments)}},{key:"once",value:function(){return this.emitter.once.apply(this.emitter,arguments)}},{key:"pasteHTML",value:function(U,Q,X){this.clipboard.dangerouslyPasteHTML(U,Q,X)}},{key:"removeFormat",value:function(U,Q,X){var le=this,ae=K(U,Q,X),W=u(ae,4);return U=W[0],Q=W[1],X=W[3],J.call(this,function(){return le.editor.removeFormat(U,Q)},X,U)}},{key:"scrollIntoView",value:function(){this.selection.scrollIntoView(this.scrollingContainer)}},{key:"setContents",value:function(U){var Q=this,X=arguments.length>1&&arguments[1]!==void 0?arguments[1]:m.default.sources.API;return J.call(this,function(){U=new g.default(U);var le=Q.getLength(),ae=Q.editor.deleteText(0,le),W=Q.editor.applyDelta(U),ie=W.ops[W.ops.length-1];ie!=null&&typeof ie.insert=="string"&&ie.insert[ie.insert.length-1]===` `&&(Q.editor.deleteText(Q.getLength()-1,1),W.delete(1));var de=ae.compose(W);return de},X)}},{key:"setSelection",value:function(U,Q,X){if(U==null)this.selection.setRange(null,Q||Z.sources.API);else{var le=K(U,Q,X),ae=u(le,4);U=ae[0],Q=ae[1],X=ae[3],this.selection.setRange(new v.Range(U,Q),X),X!==m.default.sources.SILENT&&this.selection.scrollIntoView(this.scrollingContainer)}}},{key:"setText",value:function(U){var Q=arguments.length>1&&arguments[1]!==void 0?arguments[1]:m.default.sources.API,X=new g.default().insert(U);return this.setContents(X,Q)}},{key:"update",value:function(){var U=arguments.length>0&&arguments[0]!==void 0?arguments[0]:m.default.sources.USER,Q=this.scroll.update(U);return this.selection.update(U),Q}},{key:"updateContents",value:function(U){var Q=this,X=arguments.length>1&&arguments[1]!==void 0?arguments[1]:m.default.sources.API;return J.call(this,function(){return U=new g.default(U),Q.editor.applyDelta(U,X)},X,!0)}}]),Z}();x.DEFAULTS={bounds:null,formats:null,modules:{},placeholder:"",readOnly:!1,scrollingContainer:null,strict:!0,theme:"default"},x.events=m.default.events,x.sources=m.default.sources,x.version="1.3.7",x.imports={delta:g.default,parchment:E.default,"core/module":b.default,"core/theme":z.default};function Y(Z,j){if(j=(0,A.default)(!0,{container:Z,modules:{clipboard:!0,keyboard:!0,history:!0}},j),!j.theme||j.theme===x.DEFAULTS.theme)j.theme=z.default;else if(j.theme=x.import("themes/"+j.theme),j.theme==null)throw new Error("Invalid theme "+j.theme+". Did you register it?");var U=(0,A.default)(!0,{},j.theme.DEFAULTS);[U,j].forEach(function(le){le.modules=le.modules||{},Object.keys(le.modules).forEach(function(ae){le.modules[ae]===!0&&(le.modules[ae]={})})});var Q=Object.keys(U.modules).concat(Object.keys(j.modules)),X=Q.reduce(function(le,ae){var W=x.import("modules/"+ae);return W==null?M.error("Cannot load "+ae+" module. Are you sure you registered it?"):le[ae]=W.DEFAULTS||{},le},{});return j.modules!=null&&j.modules.toolbar&&j.modules.toolbar.constructor!==Object&&(j.modules.toolbar={container:j.modules.toolbar}),j=(0,A.default)(!0,{},x.DEFAULTS,{modules:X},U,j),["bounds","container","scrollingContainer"].forEach(function(le){typeof j[le]=="string"&&(j[le]=document.querySelector(j[le]))}),j.modules=Object.keys(j.modules).reduce(function(le,ae){return j.modules[ae]&&(le[ae]=j.modules[ae]),le},{}),j}function J(Z,j,U,Q){if(this.options.strict&&!this.isEnabled()&&j===m.default.sources.USER)return new g.default;var X=U==null?null:this.getSelection(),le=this.editor.delta,ae=Z();if(X!=null&&(U===!0&&(U=X.index),Q==null?X=re(X,ae,j):Q!==0&&(X=re(X,U,Q,j)),this.setSelection(X,m.default.sources.SILENT)),ae.length()>0){var W,ie=[m.default.events.TEXT_CHANGE,ae,le,j];if((W=this.emitter).emit.apply(W,[m.default.events.EDITOR_CHANGE].concat(ie)),j!==m.default.sources.SILENT){var de;(de=this.emitter).emit.apply(de,ie)}}return ae}function K(Z,j,U,Q,X){var le={};return typeof Z.index=="number"&&typeof Z.length=="number"?typeof j!="number"?(X=Q,Q=U,U=j,j=Z.length,Z=Z.index):(j=Z.length,Z=Z.index):typeof j!="number"&&(X=Q,Q=U,U=j,j=0),(typeof U=="undefined"?"undefined":l(U))==="object"?(le=U,X=Q):typeof U=="string"&&(Q!=null?le[U]=Q:X=U),X=X||m.default.sources.API,[Z,j,le,X]}function re(Z,j,U,Q){if(Z==null)return null;var X=void 0,le=void 0;if(j instanceof g.default){var ae=[Z.index,Z.index+Z.length].map(function(ce){return j.transformPosition(ce,Q!==m.default.sources.USER)}),W=u(ae,2);X=W[0],le=W[1]}else{var ie=[Z.index,Z.index+Z.length].map(function(ce){return ce=0?ce+U:Math.max(j,ce+U)}),de=u(ie,2);X=de[0],le=de[1]}return new v.Range(X,le-X)}r.expandConfig=Y,r.overload=K,r.default=x},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=function(){function C(E,v){for(var O=0;O0){var S=this.parent.isolate(this.offset(),this.length());this.moveChildren(S),S.wrap(this)}}}],[{key:"compare",value:function(O,S){var A=E.order.indexOf(O),P=E.order.indexOf(S);return A>=0||P>=0?A-P:O===S?0:O1?P-1:0),G=1;G1&&arguments[1]!==void 0?arguments[1]:{};l(this,c),this.quill=d,this.options=g};u.DEFAULTS={},r.default=u},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=["error","warn","log","info"],u="warn";function c(g){if(l.indexOf(g)<=l.indexOf(u)){for(var f,h=arguments.length,p=Array(h>1?h-1:0),m=1;m0&&typeof p[0]!="number")}function h(p,m,y){var b,C;if(g(p)||g(m)||p.prototype!==m.prototype)return!1;if(c(p))return c(m)?(p=l.call(p),m=l.call(m),d(p,m,y)):!1;if(f(p)){if(!f(m)||p.length!==m.length)return!1;for(b=0;b=0;b--)if(E[b]!=v[b])return!1;for(b=E.length-1;b>=0;b--)if(C=E[b],!d(p[C],m[C],y))return!1;return typeof p==typeof m}},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=a(1),u=function(){function c(d,g,f){f===void 0&&(f={}),this.attrName=d,this.keyName=g;var h=l.Scope.TYPE&l.Scope.ATTRIBUTE;f.scope!=null?this.scope=f.scope&l.Scope.LEVEL|h:this.scope=l.Scope.ATTRIBUTE,f.whitelist!=null&&(this.whitelist=f.whitelist)}return c.keys=function(d){return[].map.call(d.attributes,function(g){return g.name})},c.prototype.add=function(d,g){return this.canAdd(d,g)?(d.setAttribute(this.keyName,g),!0):!1},c.prototype.canAdd=function(d,g){var f=l.query(d,l.Scope.BLOT&(this.scope|l.Scope.TYPE));return f==null?!1:this.whitelist==null?!0:typeof g=="string"?this.whitelist.indexOf(g.replace(/["']/g,""))>-1:this.whitelist.indexOf(g)>-1},c.prototype.remove=function(d){d.removeAttribute(this.keyName)},c.prototype.value=function(d){var g=d.getAttribute(this.keyName);return this.canAdd(d,g)&&g?g:""},c}();r.default=u},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0}),r.default=r.Code=void 0;var l=function(){function G(z,k){var L=[],w=!0,M=!1,x=void 0;try{for(var Y=z[Symbol.iterator](),J;!(w=(J=Y.next()).done)&&(L.push(J.value),!(k&&L.length===k));w=!0);}catch(K){M=!0,x=K}finally{try{!w&&Y.return&&Y.return()}finally{if(M)throw x}}return L}return function(z,k){if(Array.isArray(z))return z;if(Symbol.iterator in Object(z))return G(z,k);throw new TypeError("Invalid attempt to destructure non-iterable instance")}}(),u=function(){function G(z,k){for(var L=0;L=L+w)){var J=this.newlineIndex(L,!0)+1,K=Y-J+1,re=this.isolate(J,K),Z=re.next;re.format(M,x),Z instanceof z&&Z.formatAt(0,L-J+w-K,M,x)}}}},{key:"insertAt",value:function(L,w,M){if(M==null){var x=this.descendant(E.default,L),Y=l(x,2),J=Y[0],K=Y[1];J.insertAt(K,w)}}},{key:"length",value:function(){var L=this.domNode.textContent.length;return this.domNode.textContent.endsWith(` `)?L:L+1}},{key:"newlineIndex",value:function(L){var w=arguments.length>1&&arguments[1]!==void 0?arguments[1]:!1;if(w)return this.domNode.textContent.slice(0,L).lastIndexOf(` `);var M=this.domNode.textContent.slice(L).indexOf(` `);return M>-1?L+M:-1}},{key:"optimize",value:function(L){this.domNode.textContent.endsWith(` `)||this.appendChild(h.default.create("text",` `)),c(z.prototype.__proto__||Object.getPrototypeOf(z.prototype),"optimize",this).call(this,L);var w=this.next;w!=null&&w.prev===this&&w.statics.blotName===this.statics.blotName&&this.statics.formats(this.domNode)===w.statics.formats(w.domNode)&&(w.optimize(L),w.moveChildren(this),w.remove())}},{key:"replace",value:function(L){c(z.prototype.__proto__||Object.getPrototypeOf(z.prototype),"replace",this).call(this,L),[].slice.call(this.domNode.querySelectorAll("*")).forEach(function(w){var M=h.default.find(w);M==null?w.parentNode.removeChild(w):M instanceof h.default.Embed?M.remove():M.unwrap()})}}],[{key:"create",value:function(L){var w=c(z.__proto__||Object.getPrototypeOf(z),"create",this).call(this,L);return w.setAttribute("spellcheck",!1),w}},{key:"formats",value:function(){return!0}}]),z}(m.default);F.blotName="code-block",F.tagName="PRE",F.TAB=" ",r.Code=P,r.default=F},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=typeof Symbol=="function"&&typeof Symbol.iterator=="symbol"?function(Z){return typeof Z}:function(Z){return Z&&typeof Symbol=="function"&&Z.constructor===Symbol&&Z!==Symbol.prototype?"symbol":typeof Z},u=function(){function Z(j,U){var Q=[],X=!0,le=!1,ae=void 0;try{for(var W=j[Symbol.iterator](),ie;!(X=(ie=W.next()).done)&&(Q.push(ie.value),!(U&&Q.length===U));X=!0);}catch(de){le=!0,ae=de}finally{try{!X&&W.return&&W.return()}finally{if(le)throw ae}}return Q}return function(j,U){if(Array.isArray(j))return j;if(Symbol.iterator in Object(j))return Z(j,U);throw new TypeError("Invalid attempt to destructure non-iterable instance")}}(),c=function(){function Z(j,U){for(var Q=0;Q=le&&!ce.endsWith(` `)&&(X=!0),Q.scroll.insertAt(ae,ce);var Ee=Q.scroll.line(ae),Ie=u(Ee,2),Le=Ie[0],Ue=Ie[1],Ye=(0,L.default)({},(0,v.bubbleFormats)(Le));if(Le instanceof O.default){var We=Le.descendant(m.default.Leaf,Ue),Je=u(We,1),ot=Je[0];Ye=(0,L.default)(Ye,(0,v.bubbleFormats)(ot))}de=h.default.attributes.diff(Ye,de)||{}}else if(l(W.insert)==="object"){var me=Object.keys(W.insert)[0];if(me==null)return ae;Q.scroll.insertAt(ae,me,W.insert[me])}le+=ie}return Object.keys(de).forEach(function(ue){Q.scroll.formatAt(ae,ie,ue,de[ue])}),ae+ie},0),U.reduce(function(ae,W){return typeof W.delete=="number"?(Q.scroll.deleteAt(ae,W.delete),ae):ae+(W.retain||W.insert.length||1)},0),this.scroll.batchEnd(),this.update(U)}},{key:"deleteText",value:function(U,Q){return this.scroll.deleteAt(U,Q),this.update(new g.default().retain(U).delete(Q))}},{key:"formatLine",value:function(U,Q){var X=this,le=arguments.length>2&&arguments[2]!==void 0?arguments[2]:{};return this.scroll.update(),Object.keys(le).forEach(function(ae){if(!(X.scroll.whitelist!=null&&!X.scroll.whitelist[ae])){var W=X.scroll.lines(U,Math.max(Q,1)),ie=Q;W.forEach(function(de){var ce=de.length();if(!(de instanceof b.default))de.format(ae,le[ae]);else{var Ee=U-de.offset(X.scroll),Ie=de.newlineIndex(Ee+ie)-Ee+1;de.formatAt(Ee,Ie,ae,le[ae])}ie-=ce})}}),this.scroll.optimize(),this.update(new g.default().retain(U).retain(Q,(0,F.default)(le)))}},{key:"formatText",value:function(U,Q){var X=this,le=arguments.length>2&&arguments[2]!==void 0?arguments[2]:{};return Object.keys(le).forEach(function(ae){X.scroll.formatAt(U,Q,ae,le[ae])}),this.update(new g.default().retain(U).retain(Q,(0,F.default)(le)))}},{key:"getContents",value:function(U,Q){return this.delta.slice(U,U+Q)}},{key:"getDelta",value:function(){return this.scroll.lines().reduce(function(U,Q){return U.concat(Q.delta())},new g.default)}},{key:"getFormat",value:function(U){var Q=arguments.length>1&&arguments[1]!==void 0?arguments[1]:0,X=[],le=[];Q===0?this.scroll.path(U).forEach(function(W){var ie=u(W,1),de=ie[0];de instanceof O.default?X.push(de):de instanceof m.default.Leaf&&le.push(de)}):(X=this.scroll.lines(U,Q),le=this.scroll.descendants(m.default.Leaf,U,Q));var ae=[X,le].map(function(W){if(W.length===0)return{};for(var ie=(0,v.bubbleFormats)(W.shift());Object.keys(ie).length>0;){var de=W.shift();if(de==null)return ie;ie=K((0,v.bubbleFormats)(de),ie)}return ie});return L.default.apply(L.default,ae)}},{key:"getText",value:function(U,Q){return this.getContents(U,Q).filter(function(X){return typeof X.insert=="string"}).map(function(X){return X.insert}).join("")}},{key:"insertEmbed",value:function(U,Q,X){return this.scroll.insertAt(U,Q,X),this.update(new g.default().retain(U).insert(M({},Q,X)))}},{key:"insertText",value:function(U,Q){var X=this,le=arguments.length>2&&arguments[2]!==void 0?arguments[2]:{};return Q=Q.replace(/\r\n/g,` `).replace(/\r/g,` `),this.scroll.insertAt(U,Q),Object.keys(le).forEach(function(ae){X.scroll.formatAt(U,Q.length,ae,le[ae])}),this.update(new g.default().retain(U).insert(Q,(0,F.default)(le)))}},{key:"isBlank",value:function(){if(this.scroll.children.length==0)return!0;if(this.scroll.children.length>1)return!1;var U=this.scroll.children.head;return U.statics.blotName!==O.default.blotName||U.children.length>1?!1:U.children.head instanceof A.default}},{key:"removeFormat",value:function(U,Q){var X=this.getText(U,Q),le=this.scroll.line(U+Q),ae=u(le,2),W=ae[0],ie=ae[1],de=0,ce=new g.default;W!=null&&(W instanceof b.default?de=W.newlineIndex(ie)-ie+1:de=W.length()-ie,ce=W.delta().slice(ie,ie+de-1).insert(` `));var Ee=this.getContents(U,Q+de),Ie=Ee.diff(new g.default().insert(X).concat(ce)),Le=new g.default().retain(U).concat(Ie);return this.applyDelta(Le)}},{key:"update",value:function(U){var Q=arguments.length>1&&arguments[1]!==void 0?arguments[1]:[],X=arguments.length>2&&arguments[2]!==void 0?arguments[2]:void 0,le=this.delta;if(Q.length===1&&Q[0].type==="characterData"&&Q[0].target.data.match(Y)&&m.default.find(Q[0].target)){var ae=m.default.find(Q[0].target),W=(0,v.bubbleFormats)(ae),ie=ae.offset(this.scroll),de=Q[0].oldValue.replace(E.default.CONTENTS,""),ce=new g.default().insert(de),Ee=new g.default().insert(ae.value()),Ie=new g.default().retain(ie).concat(ce.diff(Ee,X));U=Ie.reduce(function(Le,Ue){return Ue.insert?Le.insert(Ue.insert,W):Le.push(Ue)},new g.default),this.delta=le.compose(U)}else this.delta=this.getDelta(),(!U||!(0,z.default)(le.compose(U),this.delta))&&(U=le.diff(this.delta,X));return U}}]),Z}();function K(Z,j){return Object.keys(j).reduce(function(U,Q){return Z[Q]==null||(j[Q]===Z[Q]?U[Q]=j[Q]:Array.isArray(j[Q])?j[Q].indexOf(Z[Q])<0&&(U[Q]=j[Q].concat([Z[Q]])):U[Q]=[j[Q],Z[Q]]),U},{})}function re(Z){return Z.reduce(function(j,U){if(U.insert===1){var Q=(0,F.default)(U.attributes);return delete Q.image,j.insert({image:U.attributes.image},Q)}if(U.attributes!=null&&(U.attributes.list===!0||U.attributes.bullet===!0)&&(U=(0,F.default)(U),U.attributes.list?U.attributes.list="ordered":(U.attributes.list="bullet",delete U.attributes.bullet)),typeof U.insert=="string"){var X=U.insert.replace(/\r\n/g,` `).replace(/\r/g,` `);return j.insert(X,U.attributes)}return j.push(U)},new g.default)}r.default=J},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0}),r.default=r.Range=void 0;var l=function(){function G(z,k){var L=[],w=!0,M=!1,x=void 0;try{for(var Y=z[Symbol.iterator](),J;!(w=(J=Y.next()).done)&&(L.push(J.value),!(k&&L.length===k));w=!0);}catch(K){M=!0,x=K}finally{try{!w&&Y.return&&Y.return()}finally{if(M)throw x}}return L}return function(z,k){if(Array.isArray(z))return z;if(Symbol.iterator in Object(z))return G(z,k);throw new TypeError("Invalid attempt to destructure non-iterable instance")}}(),u=function(){function G(z,k){for(var L=0;L1&&arguments[1]!==void 0?arguments[1]:0;O(this,G),this.index=z,this.length=k},P=function(){function G(z,k){var L=this;O(this,G),this.emitter=k,this.scroll=z,this.composing=!1,this.mouseDown=!1,this.root=this.scroll.domNode,this.cursor=d.default.create("cursor",this),this.lastRange=this.savedRange=new A(0,0),this.handleComposition(),this.handleDragging(),this.emitter.listenDOM("selectionchange",document,function(){L.mouseDown||setTimeout(L.update.bind(L,y.default.sources.USER),1)}),this.emitter.on(y.default.events.EDITOR_CHANGE,function(w,M){w===y.default.events.TEXT_CHANGE&&M.length()>0&&L.update(y.default.sources.SILENT)}),this.emitter.on(y.default.events.SCROLL_BEFORE_UPDATE,function(){if(!!L.hasFocus()){var w=L.getNativeRange();w!=null&&w.start.node!==L.cursor.textNode&&L.emitter.once(y.default.events.SCROLL_UPDATE,function(){try{L.setNativeRange(w.start.node,w.start.offset,w.end.node,w.end.offset)}catch{}})}}),this.emitter.on(y.default.events.SCROLL_OPTIMIZE,function(w,M){if(M.range){var x=M.range,Y=x.startNode,J=x.startOffset,K=x.endNode,re=x.endOffset;L.setNativeRange(Y,J,K,re)}}),this.update(y.default.sources.SILENT)}return u(G,[{key:"handleComposition",value:function(){var k=this;this.root.addEventListener("compositionstart",function(){k.composing=!0}),this.root.addEventListener("compositionend",function(){if(k.composing=!1,k.cursor.parent){var L=k.cursor.restore();if(!L)return;setTimeout(function(){k.setNativeRange(L.startNode,L.startOffset,L.endNode,L.endOffset)},1)}})}},{key:"handleDragging",value:function(){var k=this;this.emitter.listenDOM("mousedown",document.body,function(){k.mouseDown=!0}),this.emitter.listenDOM("mouseup",document.body,function(){k.mouseDown=!1,k.update(y.default.sources.USER)})}},{key:"focus",value:function(){this.hasFocus()||(this.root.focus(),this.setRange(this.savedRange))}},{key:"format",value:function(k,L){if(!(this.scroll.whitelist!=null&&!this.scroll.whitelist[k])){this.scroll.update();var w=this.getNativeRange();if(!(w==null||!w.native.collapsed||d.default.query(k,d.default.Scope.BLOCK))){if(w.start.node!==this.cursor.textNode){var M=d.default.find(w.start.node,!1);if(M==null)return;if(M instanceof d.default.Leaf){var x=M.split(w.start.offset);M.parent.insertBefore(this.cursor,x)}else M.insertBefore(this.cursor,w.start.node);this.cursor.attach()}this.cursor.format(k,L),this.scroll.optimize(),this.setNativeRange(this.cursor.textNode,this.cursor.textNode.data.length),this.update()}}}},{key:"getBounds",value:function(k){var L=arguments.length>1&&arguments[1]!==void 0?arguments[1]:0,w=this.scroll.length();k=Math.min(k,w-1),L=Math.min(k+L,w-1)-k;var M=void 0,x=this.scroll.leaf(k),Y=l(x,2),J=Y[0],K=Y[1];if(J==null)return null;var re=J.position(K,!0),Z=l(re,2);M=Z[0],K=Z[1];var j=document.createRange();if(L>0){j.setStart(M,K);var U=this.scroll.leaf(k+L),Q=l(U,2);if(J=Q[0],K=Q[1],J==null)return null;var X=J.position(K,!0),le=l(X,2);return M=le[0],K=le[1],j.setEnd(M,K),j.getBoundingClientRect()}else{var ae="left",W=void 0;return M instanceof Text?(K0&&(ae="right")),{bottom:W.top+W.height,height:W.height,left:W[ae],right:W[ae],top:W.top,width:0}}}},{key:"getNativeRange",value:function(){var k=document.getSelection();if(k==null||k.rangeCount<=0)return null;var L=k.getRangeAt(0);if(L==null)return null;var w=this.normalizeNative(L);return S.info("getNativeRange",w),w}},{key:"getRange",value:function(){var k=this.getNativeRange();if(k==null)return[null,null];var L=this.normalizedToRange(k);return[L,k]}},{key:"hasFocus",value:function(){return document.activeElement===this.root}},{key:"normalizedToRange",value:function(k){var L=this,w=[[k.start.node,k.start.offset]];k.native.collapsed||w.push([k.end.node,k.end.offset]);var M=w.map(function(J){var K=l(J,2),re=K[0],Z=K[1],j=d.default.find(re,!0),U=j.offset(L.scroll);return Z===0?U:j instanceof d.default.Container?U+j.length():U+j.index(re,Z)}),x=Math.min(Math.max.apply(Math,v(M)),this.scroll.length()-1),Y=Math.min.apply(Math,[x].concat(v(M)));return new A(Y,x-Y)}},{key:"normalizeNative",value:function(k){if(!F(this.root,k.startContainer)||!k.collapsed&&!F(this.root,k.endContainer))return null;var L={start:{node:k.startContainer,offset:k.startOffset},end:{node:k.endContainer,offset:k.endOffset},native:k};return[L.start,L.end].forEach(function(w){for(var M=w.node,x=w.offset;!(M instanceof Text)&&M.childNodes.length>0;)if(M.childNodes.length>x)M=M.childNodes[x],x=0;else if(M.childNodes.length===x)M=M.lastChild,x=M instanceof Text?M.data.length:M.childNodes.length+1;else break;w.node=M,w.offset=x}),L}},{key:"rangeToNative",value:function(k){var L=this,w=k.collapsed?[k.index]:[k.index,k.index+k.length],M=[],x=this.scroll.length();return w.forEach(function(Y,J){Y=Math.min(x-1,Y);var K=void 0,re=L.scroll.leaf(Y),Z=l(re,2),j=Z[0],U=Z[1],Q=j.position(U,J!==0),X=l(Q,2);K=X[0],U=X[1],M.push(K,U)}),M.length<2&&(M=M.concat(M)),M}},{key:"scrollIntoView",value:function(k){var L=this.lastRange;if(L!=null){var w=this.getBounds(L.index,L.length);if(w!=null){var M=this.scroll.length()-1,x=this.scroll.line(Math.min(L.index,M)),Y=l(x,1),J=Y[0],K=J;if(L.length>0){var re=this.scroll.line(Math.min(L.index+L.length,M)),Z=l(re,1);K=Z[0]}if(!(J==null||K==null)){var j=k.getBoundingClientRect();w.topj.bottom&&(k.scrollTop+=w.bottom-j.bottom)}}}}},{key:"setNativeRange",value:function(k,L){var w=arguments.length>2&&arguments[2]!==void 0?arguments[2]:k,M=arguments.length>3&&arguments[3]!==void 0?arguments[3]:L,x=arguments.length>4&&arguments[4]!==void 0?arguments[4]:!1;if(S.info("setNativeRange",k,L,w,M),!(k!=null&&(this.root.parentNode==null||k.parentNode==null||w.parentNode==null))){var Y=document.getSelection();if(Y!=null)if(k!=null){this.hasFocus()||this.root.focus();var J=(this.getNativeRange()||{}).native;if(J==null||x||k!==J.startContainer||L!==J.startOffset||w!==J.endContainer||M!==J.endOffset){k.tagName=="BR"&&(L=[].indexOf.call(k.parentNode.childNodes,k),k=k.parentNode),w.tagName=="BR"&&(M=[].indexOf.call(w.parentNode.childNodes,w),w=w.parentNode);var K=document.createRange();K.setStart(k,L),K.setEnd(w,M),Y.removeAllRanges(),Y.addRange(K)}}else Y.removeAllRanges(),this.root.blur(),document.body.focus()}}},{key:"setRange",value:function(k){var L=arguments.length>1&&arguments[1]!==void 0?arguments[1]:!1,w=arguments.length>2&&arguments[2]!==void 0?arguments[2]:y.default.sources.API;if(typeof L=="string"&&(w=L,L=!1),S.info("setRange",k),k!=null){var M=this.rangeToNative(k);this.setNativeRange.apply(this,v(M).concat([L]))}else this.setNativeRange(null);this.update(w)}},{key:"update",value:function(){var k=arguments.length>0&&arguments[0]!==void 0?arguments[0]:y.default.sources.USER,L=this.lastRange,w=this.getRange(),M=l(w,2),x=M[0],Y=M[1];if(this.lastRange=x,this.lastRange!=null&&(this.savedRange=this.lastRange),!(0,p.default)(L,this.lastRange)){var J;!this.composing&&Y!=null&&Y.native.collapsed&&Y.start.node!==this.cursor.textNode&&this.cursor.restore();var K=[y.default.events.SELECTION_CHANGE,(0,f.default)(this.lastRange),(0,f.default)(L),k];if((J=this.emitter).emit.apply(J,[y.default.events.EDITOR_CHANGE].concat(K)),k!==y.default.sources.SILENT){var re;(re=this.emitter).emit.apply(re,K)}}}}]),G}();function F(G,z){try{z.parentNode}catch{return!1}return z instanceof Text&&(z=z.parentNode),G.contains(z)}r.Range=A,r.default=P},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=function(){function y(b,C){for(var E=0;E0&&(m+=1),[this.parent.domNode,m]},f.prototype.value=function(){var h;return h={},h[this.statics.blotName]=this.statics.value(this.domNode)||!0,h},f.scope=c.Scope.INLINE_BLOT,f}(u.default);r.default=d},function(n,r,a){var l=a(11),u=a(3),c={attributes:{compose:function(g,f,h){typeof g!="object"&&(g={}),typeof f!="object"&&(f={});var p=u(!0,{},f);h||(p=Object.keys(p).reduce(function(y,b){return p[b]!=null&&(y[b]=p[b]),y},{}));for(var m in g)g[m]!==void 0&&f[m]===void 0&&(p[m]=g[m]);return Object.keys(p).length>0?p:void 0},diff:function(g,f){typeof g!="object"&&(g={}),typeof f!="object"&&(f={});var h=Object.keys(g).concat(Object.keys(f)).reduce(function(p,m){return l(g[m],f[m])||(p[m]=f[m]===void 0?null:f[m]),p},{});return Object.keys(h).length>0?h:void 0},transform:function(g,f,h){if(typeof g!="object")return f;if(typeof f=="object"){if(!h)return f;var p=Object.keys(f).reduce(function(m,y){return g[y]===void 0&&(m[y]=f[y]),m},{});return Object.keys(p).length>0?p:void 0}}},iterator:function(g){return new d(g)},length:function(g){return typeof g.delete=="number"?g.delete:typeof g.retain=="number"?g.retain:typeof g.insert=="string"?g.insert.length:1}};function d(g){this.ops=g,this.index=0,this.offset=0}d.prototype.hasNext=function(){return this.peekLength()<1/0},d.prototype.next=function(g){g||(g=1/0);var f=this.ops[this.index];if(f){var h=this.offset,p=c.length(f);if(g>=p-h?(g=p-h,this.index+=1,this.offset=0):this.offset+=g,typeof f.delete=="number")return{delete:g};var m={};return f.attributes&&(m.attributes=f.attributes),typeof f.retain=="number"?m.retain=g:typeof f.insert=="string"?m.insert=f.insert.substr(h,g):m.insert=f.insert,m}else return{retain:1/0}},d.prototype.peek=function(){return this.ops[this.index]},d.prototype.peekLength=function(){return this.ops[this.index]?c.length(this.ops[this.index])-this.offset:1/0},d.prototype.peekType=function(){return this.ops[this.index]?typeof this.ops[this.index].delete=="number"?"delete":typeof this.ops[this.index].retain=="number"?"retain":"insert":"retain"},d.prototype.rest=function(){if(this.hasNext()){if(this.offset===0)return this.ops.slice(this.index);var g=this.offset,f=this.index,h=this.next(),p=this.ops.slice(this.index);return this.offset=g,this.index=f,[h].concat(p)}else return[]},n.exports=c},function(n,r){var a=function(){function l(b,C){return C!=null&&b instanceof C}var u;try{u=Map}catch{u=function(){}}var c;try{c=Set}catch{c=function(){}}var d;try{d=Promise}catch{d=function(){}}function g(b,C,E,v,O){typeof C=="object"&&(E=C.depth,v=C.prototype,O=C.includeNonEnumerable,C=C.circular);var S=[],A=[],P=typeof Buffer!="undefined";typeof C=="undefined"&&(C=!0),typeof E=="undefined"&&(E=1/0);function F(G,z){if(G===null)return null;if(z===0)return G;var k,L;if(typeof G!="object")return G;if(l(G,u))k=new u;else if(l(G,c))k=new c;else if(l(G,d))k=new d(function(j,U){G.then(function(Q){j(F(Q,z-1))},function(Q){U(F(Q,z-1))})});else if(g.__isArray(G))k=[];else if(g.__isRegExp(G))k=new RegExp(G.source,y(G)),G.lastIndex&&(k.lastIndex=G.lastIndex);else if(g.__isDate(G))k=new Date(G.getTime());else{if(P&&Buffer.isBuffer(G))return Buffer.allocUnsafe?k=Buffer.allocUnsafe(G.length):k=new Buffer(G.length),G.copy(k),k;l(G,Error)?k=Object.create(G):typeof v=="undefined"?(L=Object.getPrototypeOf(G),k=Object.create(L)):(k=Object.create(v),L=v)}if(C){var w=S.indexOf(G);if(w!=-1)return A[w];S.push(G),A.push(k)}l(G,u)&&G.forEach(function(j,U){var Q=F(U,z-1),X=F(j,z-1);k.set(Q,X)}),l(G,c)&&G.forEach(function(j){var U=F(j,z-1);k.add(U)});for(var M in G){var x;L&&(x=Object.getOwnPropertyDescriptor(L,M)),!(x&&x.set==null)&&(k[M]=F(G[M],z-1))}if(Object.getOwnPropertySymbols)for(var Y=Object.getOwnPropertySymbols(G),M=0;M0){if(K instanceof p.BlockEmbed||U instanceof p.BlockEmbed){this.optimize();return}if(K instanceof E.default){var Q=K.newlineIndex(K.length(),!0);if(Q>-1&&(K=K.split(Q+1),K===U)){this.optimize();return}}else if(U instanceof E.default){var X=U.newlineIndex(0);X>-1&&U.split(X+1)}var le=U.children.head instanceof b.default?null:U.children.head;K.moveChildren(U,le),K.remove()}this.optimize()}},{key:"enable",value:function(){var M=arguments.length>0&&arguments[0]!==void 0?arguments[0]:!0;this.domNode.setAttribute("contenteditable",M)}},{key:"formatAt",value:function(M,x,Y,J){this.whitelist!=null&&!this.whitelist[Y]||(c(L.prototype.__proto__||Object.getPrototypeOf(L.prototype),"formatAt",this).call(this,M,x,Y,J),this.optimize())}},{key:"insertAt",value:function(M,x,Y){if(!(Y!=null&&this.whitelist!=null&&!this.whitelist[x])){if(M>=this.length())if(Y==null||g.default.query(x,g.default.Scope.BLOCK)==null){var J=g.default.create(this.statics.defaultChild);this.appendChild(J),Y==null&&x.endsWith(` `)&&(x=x.slice(0,-1)),J.insertAt(0,x,Y)}else{var K=g.default.create(x,Y);this.appendChild(K)}else c(L.prototype.__proto__||Object.getPrototypeOf(L.prototype),"insertAt",this).call(this,M,x,Y);this.optimize()}}},{key:"insertBefore",value:function(M,x){if(M.statics.scope===g.default.Scope.INLINE_BLOT){var Y=g.default.create(this.statics.defaultChild);Y.appendChild(M),M=Y}c(L.prototype.__proto__||Object.getPrototypeOf(L.prototype),"insertBefore",this).call(this,M,x)}},{key:"leaf",value:function(M){return this.path(M).pop()||[null,-1]}},{key:"line",value:function(M){return M===this.length()?this.line(M-1):this.descendant(G,M)}},{key:"lines",value:function(){var M=arguments.length>0&&arguments[0]!==void 0?arguments[0]:0,x=arguments.length>1&&arguments[1]!==void 0?arguments[1]:Number.MAX_VALUE,Y=function J(K,re,Z){var j=[],U=Z;return K.children.forEachAt(re,Z,function(Q,X,le){G(Q)?j.push(Q):Q instanceof g.default.Container&&(j=j.concat(J(Q,X,U))),U-=le}),j};return Y(this,M,x)}},{key:"optimize",value:function(){var M=arguments.length>0&&arguments[0]!==void 0?arguments[0]:[],x=arguments.length>1&&arguments[1]!==void 0?arguments[1]:{};this.batch!==!0&&(c(L.prototype.__proto__||Object.getPrototypeOf(L.prototype),"optimize",this).call(this,M,x),M.length>0&&this.emitter.emit(h.default.events.SCROLL_OPTIMIZE,M,x))}},{key:"path",value:function(M){return c(L.prototype.__proto__||Object.getPrototypeOf(L.prototype),"path",this).call(this,M).slice(1)}},{key:"update",value:function(M){if(this.batch!==!0){var x=h.default.sources.USER;typeof M=="string"&&(x=M),Array.isArray(M)||(M=this.observer.takeRecords()),M.length>0&&this.emitter.emit(h.default.events.SCROLL_BEFORE_UPDATE,x,M),c(L.prototype.__proto__||Object.getPrototypeOf(L.prototype),"update",this).call(this,M.concat([])),M.length>0&&this.emitter.emit(h.default.events.SCROLL_UPDATE,x,M)}}}]),L}(g.default.Scroll);z.blotName="scroll",z.className="ql-editor",z.tagName="DIV",z.defaultChild="block",z.allowedChildren=[m.default,p.BlockEmbed,O.default],r.default=z},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0}),r.SHORTKEY=r.default=void 0;var l=typeof Symbol=="function"&&typeof Symbol.iterator=="symbol"?function(W){return typeof W}:function(W){return W&&typeof Symbol=="function"&&W.constructor===Symbol&&W!==Symbol.prototype?"symbol":typeof W},u=function(){function W(ie,de){var ce=[],Ee=!0,Ie=!1,Le=void 0;try{for(var Ue=ie[Symbol.iterator](),Ye;!(Ee=(Ye=Ue.next()).done)&&(ce.push(Ye.value),!(de&&ce.length===de));Ee=!0);}catch(We){Ie=!0,Le=We}finally{try{!Ee&&Ue.return&&Ue.return()}finally{if(Ie)throw Le}}return ce}return function(ie,de){if(Array.isArray(ie))return ie;if(Symbol.iterator in Object(ie))return W(ie,de);throw new TypeError("Invalid attempt to destructure non-iterable instance")}}(),c=function(){function W(ie,de){for(var ce=0;ce1&&arguments[1]!==void 0?arguments[1]:{},Ie=arguments.length>2&&arguments[2]!==void 0?arguments[2]:{},Le=ae(ce);if(Le==null||Le.key==null)return Y.warn("Attempted to add invalid keyboard binding",Le);typeof Ee=="function"&&(Ee={handler:Ee}),typeof Ie=="function"&&(Ie={handler:Ie}),Le=(0,m.default)(Le,Ee,Ie),this.bindings[Le.key]=this.bindings[Le.key]||[],this.bindings[Le.key].push(Le)}},{key:"listen",value:function(){var ce=this;this.quill.root.addEventListener("keydown",function(Ee){if(!Ee.defaultPrevented){var Ie=Ee.which||Ee.keyCode,Le=(ce.bindings[Ie]||[]).filter(function(ke){return ie.match(Ee,ke)});if(Le.length!==0){var Ue=ce.quill.getSelection();if(!(Ue==null||!ce.quill.hasFocus())){var Ye=ce.quill.getLine(Ue.index),We=u(Ye,2),Je=We[0],ot=We[1],me=ce.quill.getLeaf(Ue.index),ue=u(me,2),Ne=ue[0],Te=ue[1],he=Ue.length===0?[Ne,Te]:ce.quill.getLeaf(Ue.index+Ue.length),Ge=u(he,2),Fe=Ge[0],$e=Ge[1],mt=Ne instanceof O.default.Text?Ne.value().slice(0,Te):"",ye=Fe instanceof O.default.Text?Fe.value().slice($e):"",Ce={collapsed:Ue.length===0,empty:Ue.length===0&&Je.length()<=1,format:ce.quill.getFormat(Ue),offset:ot,prefix:mt,suffix:ye},ze=Le.some(function(ke){if(ke.collapsed!=null&&ke.collapsed!==Ce.collapsed||ke.empty!=null&&ke.empty!==Ce.empty||ke.offset!=null&&ke.offset!==Ce.offset)return!1;if(Array.isArray(ke.format)){if(ke.format.every(function(Xe){return Ce.format[Xe]==null}))return!1}else if(l(ke.format)==="object"&&!Object.keys(ke.format).every(function(Xe){return ke.format[Xe]===!0?Ce.format[Xe]!=null:ke.format[Xe]===!1?Ce.format[Xe]==null:(0,h.default)(ke.format[Xe],Ce.format[Xe])}))return!1;return ke.prefix!=null&&!ke.prefix.test(Ce.prefix)||ke.suffix!=null&&!ke.suffix.test(Ce.suffix)?!1:ke.handler.call(ce,Ue,Ce)!==!0});ze&&Ee.preventDefault()}}}})}}]),ie}(z.default);K.keys={BACKSPACE:8,TAB:9,ENTER:13,ESCAPE:27,LEFT:37,UP:38,RIGHT:39,DOWN:40,DELETE:46},K.DEFAULTS={bindings:{bold:le("bold"),italic:le("italic"),underline:le("underline"),indent:{key:K.keys.TAB,format:["blockquote","indent","list"],handler:function(ie,de){if(de.collapsed&&de.offset!==0)return!0;this.quill.format("indent","+1",A.default.sources.USER)}},outdent:{key:K.keys.TAB,shiftKey:!0,format:["blockquote","indent","list"],handler:function(ie,de){if(de.collapsed&&de.offset!==0)return!0;this.quill.format("indent","-1",A.default.sources.USER)}},"outdent backspace":{key:K.keys.BACKSPACE,collapsed:!0,shiftKey:null,metaKey:null,ctrlKey:null,altKey:null,format:["indent","list"],offset:0,handler:function(ie,de){de.format.indent!=null?this.quill.format("indent","-1",A.default.sources.USER):de.format.list!=null&&this.quill.format("list",!1,A.default.sources.USER)}},"indent code-block":X(!0),"outdent code-block":X(!1),"remove tab":{key:K.keys.TAB,shiftKey:!0,collapsed:!0,prefix:/\t$/,handler:function(ie){this.quill.deleteText(ie.index-1,1,A.default.sources.USER)}},tab:{key:K.keys.TAB,handler:function(ie){this.quill.history.cutoff();var de=new b.default().retain(ie.index).delete(ie.length).insert(" ");this.quill.updateContents(de,A.default.sources.USER),this.quill.history.cutoff(),this.quill.setSelection(ie.index+1,A.default.sources.SILENT)}},"list empty enter":{key:K.keys.ENTER,collapsed:!0,format:["list"],empty:!0,handler:function(ie,de){this.quill.format("list",!1,A.default.sources.USER),de.format.indent&&this.quill.format("indent",!1,A.default.sources.USER)}},"checklist enter":{key:K.keys.ENTER,collapsed:!0,format:{list:"checked"},handler:function(ie){var de=this.quill.getLine(ie.index),ce=u(de,2),Ee=ce[0],Ie=ce[1],Le=(0,m.default)({},Ee.formats(),{list:"checked"}),Ue=new b.default().retain(ie.index).insert(` `,Le).retain(Ee.length()-Ie-1).retain(1,{list:"unchecked"});this.quill.updateContents(Ue,A.default.sources.USER),this.quill.setSelection(ie.index+1,A.default.sources.SILENT),this.quill.scrollIntoView()}},"header enter":{key:K.keys.ENTER,collapsed:!0,format:["header"],suffix:/^$/,handler:function(ie,de){var ce=this.quill.getLine(ie.index),Ee=u(ce,2),Ie=Ee[0],Le=Ee[1],Ue=new b.default().retain(ie.index).insert(` `,de.format).retain(Ie.length()-Le-1).retain(1,{header:null});this.quill.updateContents(Ue,A.default.sources.USER),this.quill.setSelection(ie.index+1,A.default.sources.SILENT),this.quill.scrollIntoView()}},"list autofill":{key:" ",collapsed:!0,format:{list:!1},prefix:/^\s*?(\d+\.|-|\*|\[ ?\]|\[x\])$/,handler:function(ie,de){var ce=de.prefix.length,Ee=this.quill.getLine(ie.index),Ie=u(Ee,2),Le=Ie[0],Ue=Ie[1];if(Ue>ce)return!0;var Ye=void 0;switch(de.prefix.trim()){case"[]":case"[ ]":Ye="unchecked";break;case"[x]":Ye="checked";break;case"-":case"*":Ye="bullet";break;default:Ye="ordered"}this.quill.insertText(ie.index," ",A.default.sources.USER),this.quill.history.cutoff();var We=new b.default().retain(ie.index-Ue).delete(ce+1).retain(Le.length()-2-Ue).retain(1,{list:Ye});this.quill.updateContents(We,A.default.sources.USER),this.quill.history.cutoff(),this.quill.setSelection(ie.index-ce,A.default.sources.SILENT)}},"code exit":{key:K.keys.ENTER,collapsed:!0,format:["code-block"],prefix:/\n\n$/,suffix:/^\s+$/,handler:function(ie){var de=this.quill.getLine(ie.index),ce=u(de,2),Ee=ce[0],Ie=ce[1],Le=new b.default().retain(ie.index+Ee.length()-Ie-2).retain(1,{"code-block":null}).delete(1);this.quill.updateContents(Le,A.default.sources.USER)}},"embed left":re(K.keys.LEFT,!1),"embed left shift":re(K.keys.LEFT,!0),"embed right":re(K.keys.RIGHT,!1),"embed right shift":re(K.keys.RIGHT,!0)}};function re(W,ie){var de,ce=W===K.keys.LEFT?"prefix":"suffix";return de={key:W,shiftKey:ie,altKey:null},L(de,ce,/^$/),L(de,"handler",function(Ie){var Le=Ie.index;W===K.keys.RIGHT&&(Le+=Ie.length+1);var Ue=this.quill.getLeaf(Le),Ye=u(Ue,1),We=Ye[0];return We instanceof O.default.Embed?(W===K.keys.LEFT?ie?this.quill.setSelection(Ie.index-1,Ie.length+1,A.default.sources.USER):this.quill.setSelection(Ie.index-1,A.default.sources.USER):ie?this.quill.setSelection(Ie.index,Ie.length+1,A.default.sources.USER):this.quill.setSelection(Ie.index+Ie.length+1,A.default.sources.USER),!1):!0}),de}function Z(W,ie){if(!(W.index===0||this.quill.getLength()<=1)){var de=this.quill.getLine(W.index),ce=u(de,1),Ee=ce[0],Ie={};if(ie.offset===0){var Le=this.quill.getLine(W.index-1),Ue=u(Le,1),Ye=Ue[0];if(Ye!=null&&Ye.length()>1){var We=Ee.formats(),Je=this.quill.getFormat(W.index-1,1);Ie=E.default.attributes.diff(We,Je)||{}}}var ot=/[\uD800-\uDBFF][\uDC00-\uDFFF]$/.test(ie.prefix)?2:1;this.quill.deleteText(W.index-ot,ot,A.default.sources.USER),Object.keys(Ie).length>0&&this.quill.formatLine(W.index-ot,ot,Ie,A.default.sources.USER),this.quill.focus()}}function j(W,ie){var de=/^[\uD800-\uDBFF][\uDC00-\uDFFF]/.test(ie.suffix)?2:1;if(!(W.index>=this.quill.getLength()-de)){var ce={},Ee=0,Ie=this.quill.getLine(W.index),Le=u(Ie,1),Ue=Le[0];if(ie.offset>=Ue.length()-1){var Ye=this.quill.getLine(W.index+1),We=u(Ye,1),Je=We[0];if(Je){var ot=Ue.formats(),me=this.quill.getFormat(W.index,1);ce=E.default.attributes.diff(ot,me)||{},Ee=Je.length()}}this.quill.deleteText(W.index,de,A.default.sources.USER),Object.keys(ce).length>0&&this.quill.formatLine(W.index+Ee-1,de,ce,A.default.sources.USER)}}function U(W){var ie=this.quill.getLines(W),de={};if(ie.length>1){var ce=ie[0].formats(),Ee=ie[ie.length-1].formats();de=E.default.attributes.diff(Ee,ce)||{}}this.quill.deleteText(W,A.default.sources.USER),Object.keys(de).length>0&&this.quill.formatLine(W.index,1,de,A.default.sources.USER),this.quill.setSelection(W.index,A.default.sources.SILENT),this.quill.focus()}function Q(W,ie){var de=this;W.length>0&&this.quill.scroll.deleteAt(W.index,W.length);var ce=Object.keys(ie.format).reduce(function(Ee,Ie){return O.default.query(Ie,O.default.Scope.BLOCK)&&!Array.isArray(ie.format[Ie])&&(Ee[Ie]=ie.format[Ie]),Ee},{});this.quill.insertText(W.index,` `,ce,A.default.sources.USER),this.quill.setSelection(W.index+1,A.default.sources.SILENT),this.quill.focus(),Object.keys(ie.format).forEach(function(Ee){ce[Ee]==null&&(Array.isArray(ie.format[Ee])||Ee!=="link"&&de.quill.format(Ee,ie.format[Ee],A.default.sources.USER))})}function X(W){return{key:K.keys.TAB,shiftKey:!W,format:{"code-block":!0},handler:function(de){var ce=O.default.query("code-block"),Ee=de.index,Ie=de.length,Le=this.quill.scroll.descendant(ce,Ee),Ue=u(Le,2),Ye=Ue[0],We=Ue[1];if(Ye!=null){var Je=this.quill.getIndex(Ye),ot=Ye.newlineIndex(We,!0)+1,me=Ye.newlineIndex(Je+We+Ie),ue=Ye.domNode.textContent.slice(ot,me).split(` `);We=0,ue.forEach(function(Ne,Te){W?(Ye.insertAt(ot+We,ce.TAB),We+=ce.TAB.length,Te===0?Ee+=ce.TAB.length:Ie+=ce.TAB.length):Ne.startsWith(ce.TAB)&&(Ye.deleteAt(ot+We,ce.TAB.length),We-=ce.TAB.length,Te===0?Ee-=ce.TAB.length:Ie-=ce.TAB.length),We+=Ne.length+1}),this.quill.update(A.default.sources.USER),this.quill.setSelection(Ee,Ie,A.default.sources.SILENT)}}}}function le(W){return{key:W[0].toUpperCase(),shortKey:!0,handler:function(de,ce){this.quill.format(W,!ce.format[W],A.default.sources.USER)}}}function ae(W){if(typeof W=="string"||typeof W=="number")return ae({key:W});if((typeof W=="undefined"?"undefined":l(W))==="object"&&(W=(0,g.default)(W,!1)),typeof W.key=="string")if(K.keys[W.key.toUpperCase()]!=null)W.key=K.keys[W.key.toUpperCase()];else if(W.key.length===1)W.key=W.key.toUpperCase().charCodeAt(0);else return null;return W.shortKey&&(W[J]=W.shortKey,delete W.shortKey),W}r.default=K,r.SHORTKEY=J},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=function(){function E(v,O){var S=[],A=!0,P=!1,F=void 0;try{for(var G=v[Symbol.iterator](),z;!(A=(z=G.next()).done)&&(S.push(z.value),!(O&&S.length===O));A=!0);}catch(k){P=!0,F=k}finally{try{!A&&G.return&&G.return()}finally{if(P)throw F}}return S}return function(v,O){if(Array.isArray(v))return v;if(Symbol.iterator in Object(v))return E(v,O);throw new TypeError("Invalid attempt to destructure non-iterable instance")}}(),u=function E(v,O,S){v===null&&(v=Function.prototype);var A=Object.getOwnPropertyDescriptor(v,O);if(A===void 0){var P=Object.getPrototypeOf(v);return P===null?void 0:E(P,O,S)}else{if("value"in A)return A.value;var F=A.get;return F===void 0?void 0:F.call(S)}},c=function(){function E(v,O){for(var S=0;S-1}r.default=m,r.sanitize=y},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=typeof Symbol=="function"&&typeof Symbol.iterator=="symbol"?function(C){return typeof C}:function(C){return C&&typeof Symbol=="function"&&C.constructor===Symbol&&C!==Symbol.prototype?"symbol":typeof C},u=function(){function C(E,v){for(var O=0;O1&&arguments[1]!==void 0?arguments[1]:!1,S=this.container.querySelector(".ql-selected");if(v!==S&&(S!=null&&S.classList.remove("ql-selected"),v!=null&&(v.classList.add("ql-selected"),this.select.selectedIndex=[].indexOf.call(v.parentNode.children,v),v.hasAttribute("data-value")?this.label.setAttribute("data-value",v.getAttribute("data-value")):this.label.removeAttribute("data-value"),v.hasAttribute("data-label")?this.label.setAttribute("data-label",v.getAttribute("data-label")):this.label.removeAttribute("data-label"),O))){if(typeof Event=="function")this.select.dispatchEvent(new Event("change"));else if((typeof Event=="undefined"?"undefined":l(Event))==="object"){var A=document.createEvent("Event");A.initEvent("change",!0,!0),this.select.dispatchEvent(A)}this.close()}}},{key:"update",value:function(){var v=void 0;if(this.select.selectedIndex>-1){var O=this.container.querySelector(".ql-picker-options").children[this.select.selectedIndex];v=this.select.options[this.select.selectedIndex],this.selectItem(O)}else this.selectItem(null);var S=v!=null&&v!==this.select.querySelector("option[selected]");this.label.classList.toggle("ql-active",S)}}]),C}();r.default=b},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=a(0),u=Y(l),c=a(5),d=Y(c),g=a(4),f=Y(g),h=a(16),p=Y(h),m=a(25),y=Y(m),b=a(24),C=Y(b),E=a(35),v=Y(E),O=a(6),S=Y(O),A=a(22),P=Y(A),F=a(7),G=Y(F),z=a(55),k=Y(z),L=a(42),w=Y(L),M=a(23),x=Y(M);function Y(J){return J&&J.__esModule?J:{default:J}}d.default.register({"blots/block":f.default,"blots/block/embed":g.BlockEmbed,"blots/break":p.default,"blots/container":y.default,"blots/cursor":C.default,"blots/embed":v.default,"blots/inline":S.default,"blots/scroll":P.default,"blots/text":G.default,"modules/clipboard":k.default,"modules/history":w.default,"modules/keyboard":x.default}),u.default.register(f.default,p.default,C.default,S.default,P.default,G.default),r.default=d.default},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=a(1),u=function(){function c(d){this.domNode=d,this.domNode[l.DATA_KEY]={blot:this}}return Object.defineProperty(c.prototype,"statics",{get:function(){return this.constructor},enumerable:!0,configurable:!0}),c.create=function(d){if(this.tagName==null)throw new l.ParchmentError("Blot definition missing tagName");var g;return Array.isArray(this.tagName)?(typeof d=="string"&&(d=d.toUpperCase(),parseInt(d).toString()===d&&(d=parseInt(d))),typeof d=="number"?g=document.createElement(this.tagName[d-1]):this.tagName.indexOf(d)>-1?g=document.createElement(d):g=document.createElement(this.tagName[0])):g=document.createElement(this.tagName),this.className&&g.classList.add(this.className),g},c.prototype.attach=function(){this.parent!=null&&(this.scroll=this.parent.scroll)},c.prototype.clone=function(){var d=this.domNode.cloneNode(!1);return l.create(d)},c.prototype.detach=function(){this.parent!=null&&this.parent.removeChild(this),delete this.domNode[l.DATA_KEY]},c.prototype.deleteAt=function(d,g){var f=this.isolate(d,g);f.remove()},c.prototype.formatAt=function(d,g,f,h){var p=this.isolate(d,g);if(l.query(f,l.Scope.BLOT)!=null&&h)p.wrap(f,h);else if(l.query(f,l.Scope.ATTRIBUTE)!=null){var m=l.create(this.statics.scope);p.wrap(m),m.format(f,h)}},c.prototype.insertAt=function(d,g,f){var h=f==null?l.create("text",g):l.create(g,f),p=this.split(d);this.parent.insertBefore(h,p)},c.prototype.insertInto=function(d,g){g===void 0&&(g=null),this.parent!=null&&this.parent.children.remove(this);var f=null;d.children.insertBefore(this,g),g!=null&&(f=g.domNode),(this.domNode.parentNode!=d.domNode||this.domNode.nextSibling!=f)&&d.domNode.insertBefore(this.domNode,f),this.parent=d,this.attach()},c.prototype.isolate=function(d,g){var f=this.split(d);return f.split(g),f},c.prototype.length=function(){return 1},c.prototype.offset=function(d){return d===void 0&&(d=this.parent),this.parent==null||this==d?0:this.parent.children.offset(this)+this.parent.offset(d)},c.prototype.optimize=function(d){this.domNode[l.DATA_KEY]!=null&&delete this.domNode[l.DATA_KEY].mutations},c.prototype.remove=function(){this.domNode.parentNode!=null&&this.domNode.parentNode.removeChild(this.domNode),this.detach()},c.prototype.replace=function(d){d.parent!=null&&(d.parent.insertBefore(this,d.next),d.remove())},c.prototype.replaceWith=function(d,g){var f=typeof d=="string"?l.create(d,g):d;return f.replace(this),f},c.prototype.split=function(d,g){return d===0?this:this.next},c.prototype.update=function(d,g){},c.prototype.wrap=function(d,g){var f=typeof d=="string"?l.create(d,g):d;return this.parent!=null&&this.parent.insertBefore(f,this.next),f.appendChild(this),f},c.blotName="abstract",c}();r.default=u},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=a(12),u=a(32),c=a(33),d=a(1),g=function(){function f(h){this.attributes={},this.domNode=h,this.build()}return f.prototype.attribute=function(h,p){p?h.add(this.domNode,p)&&(h.value(this.domNode)!=null?this.attributes[h.attrName]=h:delete this.attributes[h.attrName]):(h.remove(this.domNode),delete this.attributes[h.attrName])},f.prototype.build=function(){var h=this;this.attributes={};var p=l.default.keys(this.domNode),m=u.default.keys(this.domNode),y=c.default.keys(this.domNode);p.concat(m).concat(y).forEach(function(b){var C=d.query(b,d.Scope.ATTRIBUTE);C instanceof l.default&&(h.attributes[C.attrName]=C)})},f.prototype.copy=function(h){var p=this;Object.keys(this.attributes).forEach(function(m){var y=p.attributes[m].value(p.domNode);h.format(m,y)})},f.prototype.move=function(h){var p=this;this.copy(h),Object.keys(this.attributes).forEach(function(m){p.attributes[m].remove(p.domNode)}),this.attributes={}},f.prototype.values=function(){var h=this;return Object.keys(this.attributes).reduce(function(p,m){return p[m]=h.attributes[m].value(h.domNode),p},{})},f}();r.default=g},function(n,r,a){var l=this&&this.__extends||function(){var g=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(f,h){f.__proto__=h}||function(f,h){for(var p in h)h.hasOwnProperty(p)&&(f[p]=h[p])};return function(f,h){g(f,h);function p(){this.constructor=f}f.prototype=h===null?Object.create(h):(p.prototype=h.prototype,new p)}}();Object.defineProperty(r,"__esModule",{value:!0});var u=a(12);function c(g,f){var h=g.getAttribute("class")||"";return h.split(/\s+/).filter(function(p){return p.indexOf(f+"-")===0})}var d=function(g){l(f,g);function f(){return g!==null&&g.apply(this,arguments)||this}return f.keys=function(h){return(h.getAttribute("class")||"").split(/\s+/).map(function(p){return p.split("-").slice(0,-1).join("-")})},f.prototype.add=function(h,p){return this.canAdd(h,p)?(this.remove(h),h.classList.add(this.keyName+"-"+p),!0):!1},f.prototype.remove=function(h){var p=c(h,this.keyName);p.forEach(function(m){h.classList.remove(m)}),h.classList.length===0&&h.removeAttribute("class")},f.prototype.value=function(h){var p=c(h,this.keyName)[0]||"",m=p.slice(this.keyName.length+1);return this.canAdd(h,m)?m:""},f}(u.default);r.default=d},function(n,r,a){var l=this&&this.__extends||function(){var g=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(f,h){f.__proto__=h}||function(f,h){for(var p in h)h.hasOwnProperty(p)&&(f[p]=h[p])};return function(f,h){g(f,h);function p(){this.constructor=f}f.prototype=h===null?Object.create(h):(p.prototype=h.prototype,new p)}}();Object.defineProperty(r,"__esModule",{value:!0});var u=a(12);function c(g){var f=g.split("-"),h=f.slice(1).map(function(p){return p[0].toUpperCase()+p.slice(1)}).join("");return f[0]+h}var d=function(g){l(f,g);function f(){return g!==null&&g.apply(this,arguments)||this}return f.keys=function(h){return(h.getAttribute("style")||"").split(";").map(function(p){var m=p.split(":");return m[0].trim()})},f.prototype.add=function(h,p){return this.canAdd(h,p)?(h.style[c(this.keyName)]=p,!0):!1},f.prototype.remove=function(h){h.style[c(this.keyName)]="",h.getAttribute("style")||h.removeAttribute("style")},f.prototype.value=function(h){var p=h.style[c(this.keyName)];return this.canAdd(h,p)?p:""},f}(u.default);r.default=d},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=function(){function d(g,f){for(var h=0;hz&&this.stack.undo.length>0){var k=this.stack.undo.pop();G=G.compose(k.undo),P=k.redo.compose(P)}else this.lastRecorded=z;this.stack.undo.push({redo:P,undo:G}),this.stack.undo.length>this.options.maxStack&&this.stack.undo.shift()}}},{key:"redo",value:function(){this.change("redo","undo")}},{key:"transform",value:function(P){this.stack.undo.forEach(function(F){F.undo=P.transform(F.undo,!0),F.redo=P.transform(F.redo,!0)}),this.stack.redo.forEach(function(F){F.undo=P.transform(F.undo,!0),F.redo=P.transform(F.redo,!0)})}},{key:"undo",value:function(){this.change("undo","redo")}}]),S}(h.default);C.DEFAULTS={delay:1e3,maxStack:100,userOnly:!1};function E(O){var S=O.ops[O.ops.length-1];return S==null?!1:S.insert!=null?typeof S.insert=="string"&&S.insert.endsWith(` `):S.attributes!=null?Object.keys(S.attributes).some(function(A){return c.default.query(A,c.default.Scope.BLOCK)!=null}):!1}function v(O){var S=O.reduce(function(P,F){return P+=F.delete||0,P},0),A=O.length()-S;return E(O)&&(A-=1),A}r.default=C,r.getLastChangeIndex=v},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0}),r.default=r.BaseTooltip=void 0;var l=function(){function Q(X,le){for(var ae=0;ae0&&arguments[0]!==void 0?arguments[0]:"link",W=arguments.length>1&&arguments[1]!==void 0?arguments[1]:null;this.root.classList.remove("ql-hidden"),this.root.classList.add("ql-editing"),W!=null?this.textbox.value=W:ae!==this.root.getAttribute("data-mode")&&(this.textbox.value=""),this.position(this.quill.getBounds(this.quill.selection.savedRange)),this.textbox.select(),this.textbox.setAttribute("placeholder",this.textbox.getAttribute("data-"+ae)||""),this.root.setAttribute("data-mode",ae)}},{key:"restoreFocus",value:function(){var ae=this.quill.scrollingContainer.scrollTop;this.quill.focus(),this.quill.scrollingContainer.scrollTop=ae}},{key:"save",value:function(){var ae=this.textbox.value;switch(this.root.getAttribute("data-mode")){case"link":{var W=this.quill.root.scrollTop;this.linkRange?(this.quill.formatText(this.linkRange,"link",ae,p.default.sources.USER),delete this.linkRange):(this.restoreFocus(),this.quill.format("link",ae,p.default.sources.USER)),this.quill.root.scrollTop=W;break}case"video":ae=j(ae);case"formula":{if(!ae)break;var ie=this.quill.getSelection(!0);if(ie!=null){var de=ie.index+ie.length;this.quill.insertEmbed(de,this.root.getAttribute("data-mode"),ae,p.default.sources.USER),this.root.getAttribute("data-mode")==="formula"&&this.quill.insertText(de+1," ",p.default.sources.USER),this.quill.setSelection(de+2,p.default.sources.USER)}break}}this.textbox.value="",this.hide()}}]),X}(G.default);function j(Q){var X=Q.match(/^(?:(https?):\/\/)?(?:(?:www|m)\.)?youtube\.com\/watch.*v=([a-zA-Z0-9_-]+)/)||Q.match(/^(?:(https?):\/\/)?(?:(?:www|m)\.)?youtu\.be\/([a-zA-Z0-9_-]+)/);return X?(X[1]||"https")+"://www.youtube.com/embed/"+X[2]+"?showinfo=0":(X=Q.match(/^(?:(https?):\/\/)?(?:www\.)?vimeo\.com\/(\d+)/))?(X[1]||"https")+"://player.vimeo.com/video/"+X[2]+"/":Q}function U(Q,X){var le=arguments.length>2&&arguments[2]!==void 0?arguments[2]:!1;X.forEach(function(ae){var W=document.createElement("option");ae===le?W.setAttribute("selected","selected"):W.setAttribute("value",ae),Q.appendChild(W)})}r.BaseTooltip=Z,r.default=re},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=function(){function u(){this.head=this.tail=null,this.length=0}return u.prototype.append=function(){for(var c=[],d=0;d1&&this.append.apply(this,c.slice(1))},u.prototype.contains=function(c){for(var d,g=this.iterator();d=g();)if(d===c)return!0;return!1},u.prototype.insertBefore=function(c,d){!c||(c.next=d,d!=null?(c.prev=d.prev,d.prev!=null&&(d.prev.next=c),d.prev=c,d===this.head&&(this.head=c)):this.tail!=null?(this.tail.next=c,c.prev=this.tail,this.tail=c):(c.prev=null,this.head=this.tail=c),this.length+=1)},u.prototype.offset=function(c){for(var d=0,g=this.head;g!=null;){if(g===c)return d;d+=g.length(),g=g.next}return-1},u.prototype.remove=function(c){!this.contains(c)||(c.prev!=null&&(c.prev.next=c.next),c.next!=null&&(c.next.prev=c.prev),c===this.head&&(this.head=c.next),c===this.tail&&(this.tail=c.prev),this.length-=1)},u.prototype.iterator=function(c){return c===void 0&&(c=this.head),function(){var d=c;return c!=null&&(c=c.next),d}},u.prototype.find=function(c,d){d===void 0&&(d=!1);for(var g,f=this.iterator();g=f();){var h=g.length();if(cy?g(m,c-y,Math.min(d,y+C-c)):g(m,0,Math.min(C,c+d-y)),y+=C}},u.prototype.map=function(c){return this.reduce(function(d,g){return d.push(c(g)),d},[])},u.prototype.reduce=function(c,d){for(var g,f=this.iterator();g=f();)d=c(d,g);return d},u}();r.default=l},function(n,r,a){var l=this&&this.__extends||function(){var h=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(p,m){p.__proto__=m}||function(p,m){for(var y in m)m.hasOwnProperty(y)&&(p[y]=m[y])};return function(p,m){h(p,m);function y(){this.constructor=p}p.prototype=m===null?Object.create(m):(y.prototype=m.prototype,new y)}}();Object.defineProperty(r,"__esModule",{value:!0});var u=a(17),c=a(1),d={attributes:!0,characterData:!0,characterDataOldValue:!0,childList:!0,subtree:!0},g=100,f=function(h){l(p,h);function p(m){var y=h.call(this,m)||this;return y.scroll=y,y.observer=new MutationObserver(function(b){y.update(b)}),y.observer.observe(y.domNode,d),y.attach(),y}return p.prototype.detach=function(){h.prototype.detach.call(this),this.observer.disconnect()},p.prototype.deleteAt=function(m,y){this.update(),m===0&&y===this.length()?this.children.forEach(function(b){b.remove()}):h.prototype.deleteAt.call(this,m,y)},p.prototype.formatAt=function(m,y,b,C){this.update(),h.prototype.formatAt.call(this,m,y,b,C)},p.prototype.insertAt=function(m,y,b){this.update(),h.prototype.insertAt.call(this,m,y,b)},p.prototype.optimize=function(m,y){var b=this;m===void 0&&(m=[]),y===void 0&&(y={}),h.prototype.optimize.call(this,y);for(var C=[].slice.call(this.observer.takeRecords());C.length>0;)m.push(C.pop());for(var E=function(A,P){P===void 0&&(P=!0),!(A==null||A===b)&&A.domNode.parentNode!=null&&(A.domNode[c.DATA_KEY].mutations==null&&(A.domNode[c.DATA_KEY].mutations=[]),P&&E(A.parent))},v=function(A){A.domNode[c.DATA_KEY]==null||A.domNode[c.DATA_KEY].mutations==null||(A instanceof u.default&&A.children.forEach(v),A.optimize(y))},O=m,S=0;O.length>0;S+=1){if(S>=g)throw new Error("[Parchment] Maximum optimize iterations reached");for(O.forEach(function(A){var P=c.find(A.target,!0);P!=null&&(P.domNode===A.target&&(A.type==="childList"?(E(c.find(A.previousSibling,!1)),[].forEach.call(A.addedNodes,function(F){var G=c.find(F,!1);E(G,!1),G instanceof u.default&&G.children.forEach(function(z){E(z,!1)})})):A.type==="attributes"&&E(P.prev)),E(P))}),this.children.forEach(v),O=[].slice.call(this.observer.takeRecords()),C=O.slice();C.length>0;)m.push(C.pop())}},p.prototype.update=function(m,y){var b=this;y===void 0&&(y={}),m=m||this.observer.takeRecords(),m.map(function(C){var E=c.find(C.target,!0);return E==null?null:E.domNode[c.DATA_KEY].mutations==null?(E.domNode[c.DATA_KEY].mutations=[C],E):(E.domNode[c.DATA_KEY].mutations.push(C),null)}).forEach(function(C){C==null||C===b||C.domNode[c.DATA_KEY]==null||C.update(C.domNode[c.DATA_KEY].mutations||[],y)}),this.domNode[c.DATA_KEY].mutations!=null&&h.prototype.update.call(this,this.domNode[c.DATA_KEY].mutations,y),this.optimize(m,y)},p.blotName="scroll",p.defaultChild="block",p.scope=c.Scope.BLOCK_BLOT,p.tagName="DIV",p}(u.default);r.default=f},function(n,r,a){var l=this&&this.__extends||function(){var f=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(h,p){h.__proto__=p}||function(h,p){for(var m in p)p.hasOwnProperty(m)&&(h[m]=p[m])};return function(h,p){f(h,p);function m(){this.constructor=h}h.prototype=p===null?Object.create(p):(m.prototype=p.prototype,new m)}}();Object.defineProperty(r,"__esModule",{value:!0});var u=a(18),c=a(1);function d(f,h){if(Object.keys(f).length!==Object.keys(h).length)return!1;for(var p in f)if(f[p]!==h[p])return!1;return!0}var g=function(f){l(h,f);function h(){return f!==null&&f.apply(this,arguments)||this}return h.formats=function(p){if(p.tagName!==h.tagName)return f.formats.call(this,p)},h.prototype.format=function(p,m){var y=this;p===this.statics.blotName&&!m?(this.children.forEach(function(b){b instanceof u.default||(b=b.wrap(h.blotName,!0)),y.attributes.copy(b)}),this.unwrap()):f.prototype.format.call(this,p,m)},h.prototype.formatAt=function(p,m,y,b){if(this.formats()[y]!=null||c.query(y,c.Scope.ATTRIBUTE)){var C=this.isolate(p,m);C.format(y,b)}else f.prototype.formatAt.call(this,p,m,y,b)},h.prototype.optimize=function(p){f.prototype.optimize.call(this,p);var m=this.formats();if(Object.keys(m).length===0)return this.unwrap();var y=this.next;y instanceof h&&y.prev===this&&d(m,y.formats())&&(y.moveChildren(this),y.remove())},h.blotName="inline",h.scope=c.Scope.INLINE_BLOT,h.tagName="SPAN",h}(u.default);r.default=g},function(n,r,a){var l=this&&this.__extends||function(){var g=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(f,h){f.__proto__=h}||function(f,h){for(var p in h)h.hasOwnProperty(p)&&(f[p]=h[p])};return function(f,h){g(f,h);function p(){this.constructor=f}f.prototype=h===null?Object.create(h):(p.prototype=h.prototype,new p)}}();Object.defineProperty(r,"__esModule",{value:!0});var u=a(18),c=a(1),d=function(g){l(f,g);function f(){return g!==null&&g.apply(this,arguments)||this}return f.formats=function(h){var p=c.query(f.blotName).tagName;if(h.tagName!==p)return g.formats.call(this,h)},f.prototype.format=function(h,p){c.query(h,c.Scope.BLOCK)!=null&&(h===this.statics.blotName&&!p?this.replaceWith(f.blotName):g.prototype.format.call(this,h,p))},f.prototype.formatAt=function(h,p,m,y){c.query(m,c.Scope.BLOCK)!=null?this.format(m,y):g.prototype.formatAt.call(this,h,p,m,y)},f.prototype.insertAt=function(h,p,m){if(m==null||c.query(p,c.Scope.INLINE)!=null)g.prototype.insertAt.call(this,h,p,m);else{var y=this.split(h),b=c.create(p,m);y.parent.insertBefore(b,y)}},f.prototype.update=function(h,p){navigator.userAgent.match(/Trident/)?this.build():g.prototype.update.call(this,h,p)},f.blotName="block",f.scope=c.Scope.BLOCK_BLOT,f.tagName="P",f}(u.default);r.default=d},function(n,r,a){var l=this&&this.__extends||function(){var d=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(g,f){g.__proto__=f}||function(g,f){for(var h in f)f.hasOwnProperty(h)&&(g[h]=f[h])};return function(g,f){d(g,f);function h(){this.constructor=g}g.prototype=f===null?Object.create(f):(h.prototype=f.prototype,new h)}}();Object.defineProperty(r,"__esModule",{value:!0});var u=a(19),c=function(d){l(g,d);function g(){return d!==null&&d.apply(this,arguments)||this}return g.formats=function(f){},g.prototype.format=function(f,h){d.prototype.formatAt.call(this,0,this.length(),f,h)},g.prototype.formatAt=function(f,h,p,m){f===0&&h===this.length()?this.format(p,m):d.prototype.formatAt.call(this,f,h,p,m)},g.prototype.formats=function(){return this.statics.formats(this.domNode)},g}(u.default);r.default=c},function(n,r,a){var l=this&&this.__extends||function(){var g=Object.setPrototypeOf||{__proto__:[]}instanceof Array&&function(f,h){f.__proto__=h}||function(f,h){for(var p in h)h.hasOwnProperty(p)&&(f[p]=h[p])};return function(f,h){g(f,h);function p(){this.constructor=f}f.prototype=h===null?Object.create(h):(p.prototype=h.prototype,new p)}}();Object.defineProperty(r,"__esModule",{value:!0});var u=a(19),c=a(1),d=function(g){l(f,g);function f(h){var p=g.call(this,h)||this;return p.text=p.statics.value(p.domNode),p}return f.create=function(h){return document.createTextNode(h)},f.value=function(h){var p=h.data;return p.normalize&&(p=p.normalize()),p},f.prototype.deleteAt=function(h,p){this.domNode.data=this.text=this.text.slice(0,h)+this.text.slice(h+p)},f.prototype.index=function(h,p){return this.domNode===h?p:-1},f.prototype.insertAt=function(h,p,m){m==null?(this.text=this.text.slice(0,h)+p+this.text.slice(h),this.domNode.data=this.text):g.prototype.insertAt.call(this,h,p,m)},f.prototype.length=function(){return this.text.length},f.prototype.optimize=function(h){g.prototype.optimize.call(this,h),this.text=this.statics.value(this.domNode),this.text.length===0?this.remove():this.next instanceof f&&this.next.prev===this&&(this.insertAt(this.length(),this.next.value()),this.next.remove())},f.prototype.position=function(h,p){return[this.domNode,h]},f.prototype.split=function(h,p){if(p===void 0&&(p=!1),!p){if(h===0)return this;if(h===this.length())return this.next}var m=c.create(this.domNode.splitText(h));return this.parent.insertBefore(m,this.next),this.text=this.statics.value(this.domNode),m},f.prototype.update=function(h,p){var m=this;h.some(function(y){return y.type==="characterData"&&y.target===m.domNode})&&(this.text=this.statics.value(this.domNode))},f.prototype.value=function(){return this.text},f.blotName="text",f.scope=c.Scope.INLINE_BLOT,f}(u.default);r.default=d},function(n,r,a){var l=document.createElement("div");if(l.classList.toggle("test-class",!1),l.classList.contains("test-class")){var u=DOMTokenList.prototype.toggle;DOMTokenList.prototype.toggle=function(c,d){return arguments.length>1&&!this.contains(c)==!d?d:u.call(this,c)}}String.prototype.startsWith||(String.prototype.startsWith=function(c,d){return d=d||0,this.substr(d,c.length)===c}),String.prototype.endsWith||(String.prototype.endsWith=function(c,d){var g=this.toString();(typeof d!="number"||!isFinite(d)||Math.floor(d)!==d||d>g.length)&&(d=g.length),d-=c.length;var f=g.indexOf(c,d);return f!==-1&&f===d}),Array.prototype.find||Object.defineProperty(Array.prototype,"find",{value:function(d){if(this===null)throw new TypeError("Array.prototype.find called on null or undefined");if(typeof d!="function")throw new TypeError("predicate must be a function");for(var g=Object(this),f=g.length>>>0,h=arguments[1],p,m=0;mA.length?S:A,G=S.length>A.length?A:S,z=F.indexOf(G);if(z!=-1)return P=[[l,F.substring(0,z)],[u,G],[l,F.substring(z+G.length)]],S.length>A.length&&(P[0][0]=P[2][0]=a),P;if(G.length==1)return[[a,S],[l,A]];var k=m(S,A);if(k){var L=k[0],w=k[1],M=k[2],x=k[3],Y=k[4],J=c(L,M),K=c(w,x);return J.concat([[u,Y]],K)}return g(S,A)}function g(S,A){for(var P=S.length,F=A.length,G=Math.ceil((P+F)/2),z=G,k=2*G,L=new Array(k),w=new Array(k),M=0;MP)K+=2;else if(le>F)J+=2;else if(Y){var ae=z+x-U;if(ae>=0&&ae=W)return f(S,A,X,le)}}}for(var ie=-j+re;ie<=j-Z;ie+=2){var ae=z+ie,W;ie==-j||ie!=j&&w[ae-1]P)Z+=2;else if(de>F)re+=2;else if(!Y){var Q=z+x-ie;if(Q>=0&&Q=W)return f(S,A,X,le)}}}}return[[a,S],[l,A]]}function f(S,A,P,F){var G=S.substring(0,P),z=A.substring(0,F),k=S.substring(P),L=A.substring(F),w=c(G,z),M=c(k,L);return w.concat(M)}function h(S,A){if(!S||!A||S.charAt(0)!=A.charAt(0))return 0;for(var P=0,F=Math.min(S.length,A.length),G=F,z=0;PA.length?S:A,F=S.length>A.length?A:S;if(P.length<4||F.length*2=K.length?[X,le,ae,W,Q]:null}var z=G(P,F,Math.ceil(P.length/4)),k=G(P,F,Math.ceil(P.length/2)),L;if(!z&&!k)return null;k?z?L=z[4].length>k[4].length?z:k:L=k:L=z;var w,M,x,Y;S.length>A.length?(w=L[0],M=L[1],x=L[2],Y=L[3]):(x=L[0],Y=L[1],w=L[2],M=L[3]);var J=L[4];return[w,M,x,Y,J]}function y(S){S.push([u,""]);for(var A=0,P=0,F=0,G="",z="",k;A1?(P!==0&&F!==0&&(k=h(z,G),k!==0&&(A-P-F>0&&S[A-P-F-1][0]==u?S[A-P-F-1][1]+=z.substring(0,k):(S.splice(0,0,[u,z.substring(0,k)]),A++),z=z.substring(k),G=G.substring(k)),k=p(z,G),k!==0&&(S[A][1]=z.substring(z.length-k)+S[A][1],z=z.substring(0,z.length-k),G=G.substring(0,G.length-k))),P===0?S.splice(A-F,P+F,[l,z]):F===0?S.splice(A-P,P+F,[a,G]):S.splice(A-P-F,P+F,[a,G],[l,z]),A=A-P-F+(P?1:0)+(F?1:0)+1):A!==0&&S[A-1][0]==u?(S[A-1][1]+=S[A][1],S.splice(A,1)):A++,F=0,P=0,G="",z="";break}S[S.length-1][1]===""&&S.pop();var L=!1;for(A=1;A0&&F.splice(G+2,0,[k[0],L]),O(F,G,3)}else return S}function v(S){for(var A=!1,P=function(k){return k.charCodeAt(0)>=56320&&k.charCodeAt(0)<=57343},F=function(k){return k.charCodeAt(k.length-1)>=55296&&k.charCodeAt(k.length-1)<=56319},G=2;G0&&z.push(S[G]);return z}function O(S,A,P){for(var F=A+P-1;F>=0&&F>=A-1;F--)if(F+1\r?\n +\<"),this.convert();var he=this.quill.getFormat(this.quill.selection.savedRange.index);if(he[F.default.blotName]){var Ge=this.container.innerText;return this.container.innerHTML="",new h.default().insert(Ge,M({},F.default.blotName,he[F.default.blotName]))}var Fe=this.prepareMatching(),$e=u(Fe,2),mt=$e[0],ye=$e[1],Ce=ie(this.container,mt,ye);return ae(Ce,` `)&&Ce.ops[Ce.ops.length-1].attributes==null&&(Ce=Ce.compose(new h.default().retain(Ce.length()-1).delete(1))),K.log("convert",this.container.innerHTML,Ce),this.container.innerHTML="",Ce}},{key:"dangerouslyPasteHTML",value:function(Te,he){var Ge=arguments.length>2&&arguments[2]!==void 0?arguments[2]:b.default.sources.API;if(typeof Te=="string")this.quill.setContents(this.convert(Te),he),this.quill.setSelection(0,b.default.sources.SILENT);else{var Fe=this.convert(he);this.quill.updateContents(new h.default().retain(Te).concat(Fe),Ge),this.quill.setSelection(Te+Fe.length(),b.default.sources.SILENT)}}},{key:"onPaste",value:function(Te){var he=this;if(!(Te.defaultPrevented||!this.quill.isEnabled())){var Ge=this.quill.getSelection(),Fe=new h.default().retain(Ge.index),$e=this.quill.scrollingContainer.scrollTop;this.container.focus(),this.quill.selection.update(b.default.sources.SILENT),setTimeout(function(){Fe=Fe.concat(he.convert()).delete(Ge.length),he.quill.updateContents(Fe,b.default.sources.USER),he.quill.setSelection(Fe.length()-Ge.length,b.default.sources.SILENT),he.quill.scrollingContainer.scrollTop=$e,he.quill.focus()},1)}}},{key:"prepareMatching",value:function(){var Te=this,he=[],Ge=[];return this.matchers.forEach(function(Fe){var $e=u(Fe,2),mt=$e[0],ye=$e[1];switch(mt){case Node.TEXT_NODE:Ge.push(ye);break;case Node.ELEMENT_NODE:he.push(ye);break;default:[].forEach.call(Te.container.querySelectorAll(mt),function(Ce){Ce[re]=Ce[re]||[],Ce[re].push(ye)});break}}),[he,Ge]}}]),ue}(O.default);Q.DEFAULTS={matchers:[],matchVisual:!0};function X(me,ue,Ne){return(typeof ue=="undefined"?"undefined":l(ue))==="object"?Object.keys(ue).reduce(function(Te,he){return X(Te,he,ue[he])},me):me.reduce(function(Te,he){return he.attributes&&he.attributes[ue]?Te.push(he):Te.insert(he.insert,(0,g.default)({},M({},ue,Ne),he.attributes))},new h.default)}function le(me){if(me.nodeType!==Node.ELEMENT_NODE)return{};var ue="__ql-computed-style";return me[ue]||(me[ue]=window.getComputedStyle(me))}function ae(me,ue){for(var Ne="",Te=me.ops.length-1;Te>=0&&Ne.length-1}function ie(me,ue,Ne){return me.nodeType===me.TEXT_NODE?Ne.reduce(function(Te,he){return he(me,Te)},new h.default):me.nodeType===me.ELEMENT_NODE?[].reduce.call(me.childNodes||[],function(Te,he){var Ge=ie(he,ue,Ne);return he.nodeType===me.ELEMENT_NODE&&(Ge=ue.reduce(function(Fe,$e){return $e(he,Fe)},Ge),Ge=(he[re]||[]).reduce(function(Fe,$e){return $e(he,Fe)},Ge)),Te.concat(Ge)},new h.default):new h.default}function de(me,ue,Ne){return X(Ne,me,!0)}function ce(me,ue){var Ne=m.default.Attributor.Attribute.keys(me),Te=m.default.Attributor.Class.keys(me),he=m.default.Attributor.Style.keys(me),Ge={};return Ne.concat(Te).concat(he).forEach(function(Fe){var $e=m.default.query(Fe,m.default.Scope.ATTRIBUTE);$e!=null&&(Ge[$e.attrName]=$e.value(me),Ge[$e.attrName])||($e=j[Fe],$e!=null&&($e.attrName===Fe||$e.keyName===Fe)&&(Ge[$e.attrName]=$e.value(me)||void 0),$e=U[Fe],$e!=null&&($e.attrName===Fe||$e.keyName===Fe)&&($e=U[Fe],Ge[$e.attrName]=$e.value(me)||void 0))}),Object.keys(Ge).length>0&&(ue=X(ue,Ge)),ue}function Ee(me,ue){var Ne=m.default.query(me);if(Ne==null)return ue;if(Ne.prototype instanceof m.default.Embed){var Te={},he=Ne.value(me);he!=null&&(Te[Ne.blotName]=he,ue=new h.default().insert(Te,Ne.formats(me)))}else typeof Ne.formats=="function"&&(ue=X(ue,Ne.blotName,Ne.formats(me)));return ue}function Ie(me,ue){return ae(ue,` `)||ue.insert(` `),ue}function Le(){return new h.default}function Ue(me,ue){var Ne=m.default.query(me);if(Ne==null||Ne.blotName!=="list-item"||!ae(ue,` `))return ue;for(var Te=-1,he=me.parentNode;!he.classList.contains("ql-clipboard");)(m.default.query(he)||{}).blotName==="list"&&(Te+=1),he=he.parentNode;return Te<=0?ue:ue.compose(new h.default().retain(ue.length()-1).retain(1,{indent:Te}))}function Ye(me,ue){return ae(ue,` `)||(W(me)||ue.length()>0&&me.nextSibling&&W(me.nextSibling))&&ue.insert(` `),ue}function We(me,ue){if(W(me)&&me.nextElementSibling!=null&&!ae(ue,` `)){var Ne=me.offsetHeight+parseFloat(le(me).marginTop)+parseFloat(le(me).marginBottom);me.nextElementSibling.offsetTop>me.offsetTop+Ne*1.5&&ue.insert(` `)}return ue}function Je(me,ue){var Ne={},Te=me.style||{};return Te.fontStyle&&le(me).fontStyle==="italic"&&(Ne.italic=!0),Te.fontWeight&&(le(me).fontWeight.startsWith("bold")||parseInt(le(me).fontWeight)>=700)&&(Ne.bold=!0),Object.keys(Ne).length>0&&(ue=X(ue,Ne)),parseFloat(Te.textIndent||0)>0&&(ue=new h.default().insert(" ").concat(ue)),ue}function ot(me,ue){var Ne=me.data;if(me.parentNode.tagName==="O:P")return ue.insert(Ne.trim());if(Ne.trim().length===0&&me.parentNode.classList.contains("ql-clipboard"))return ue;if(!le(me.parentNode).whiteSpace.startsWith("pre")){var Te=function(Ge,Fe){return Fe=Fe.replace(/[^\u00a0]/g,""),Fe.length<1&&Ge?" ":Fe};Ne=Ne.replace(/\r\n/g," ").replace(/\n/g," "),Ne=Ne.replace(/\s\s+/g,Te.bind(Te,!0)),(me.previousSibling==null&&W(me.parentNode)||me.previousSibling!=null&&W(me.previousSibling))&&(Ne=Ne.replace(/^\s+/,Te.bind(Te,!1))),(me.nextSibling==null&&W(me.parentNode)||me.nextSibling!=null&&W(me.nextSibling))&&(Ne=Ne.replace(/\s+$/,Te.bind(Te,!1)))}return ue.insert(Ne)}r.default=Q,r.matchAttributor=ce,r.matchBlot=Ee,r.matchNewline=Ye,r.matchSpacing=We,r.matchText=ot},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=function(){function y(b,C){for(var E=0;E '},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=function(){function y(b,C){for(var E=0;Em.right&&(b=m.right-y.right,this.root.style.left=h+b+"px"),y.leftm.bottom){var C=y.bottom-y.top,E=f.bottom-f.top+C;this.root.style.top=p-E+"px",this.root.classList.add("ql-flip")}return b}},{key:"show",value:function(){this.root.classList.remove("ql-editing"),this.root.classList.remove("ql-hidden")}}]),d}();r.default=c},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=function(){function k(L,w){var M=[],x=!0,Y=!1,J=void 0;try{for(var K=L[Symbol.iterator](),re;!(x=(re=K.next()).done)&&(M.push(re.value),!(w&&M.length===w));x=!0);}catch(Z){Y=!0,J=Z}finally{try{!x&&K.return&&K.return()}finally{if(Y)throw J}}return M}return function(L,w){if(Array.isArray(L))return L;if(Symbol.iterator in Object(L))return k(L,w);throw new TypeError("Invalid attempt to destructure non-iterable instance")}}(),u=function k(L,w,M){L===null&&(L=Function.prototype);var x=Object.getOwnPropertyDescriptor(L,w);if(x===void 0){var Y=Object.getPrototypeOf(L);return Y===null?void 0:k(Y,w,M)}else{if("value"in x)return x.value;var J=x.get;return J===void 0?void 0:J.call(M)}},c=function(){function k(L,w){for(var M=0;M','','',''].join(""),r.default=G},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=a(29),u=he(l),c=a(36),d=a(38),g=a(64),f=a(65),h=he(f),p=a(66),m=he(p),y=a(67),b=he(y),C=a(37),E=a(26),v=a(39),O=a(40),S=a(56),A=he(S),P=a(68),F=he(P),G=a(27),z=he(G),k=a(69),L=he(k),w=a(70),M=he(w),x=a(71),Y=he(x),J=a(72),K=he(J),re=a(73),Z=he(re),j=a(13),U=he(j),Q=a(74),X=he(Q),le=a(75),ae=he(le),W=a(57),ie=he(W),de=a(41),ce=he(de),Ee=a(28),Ie=he(Ee),Le=a(59),Ue=he(Le),Ye=a(60),We=he(Ye),Je=a(61),ot=he(Je),me=a(108),ue=he(me),Ne=a(62),Te=he(Ne);function he(Ge){return Ge&&Ge.__esModule?Ge:{default:Ge}}u.default.register({"attributors/attribute/direction":d.DirectionAttribute,"attributors/class/align":c.AlignClass,"attributors/class/background":C.BackgroundClass,"attributors/class/color":E.ColorClass,"attributors/class/direction":d.DirectionClass,"attributors/class/font":v.FontClass,"attributors/class/size":O.SizeClass,"attributors/style/align":c.AlignStyle,"attributors/style/background":C.BackgroundStyle,"attributors/style/color":E.ColorStyle,"attributors/style/direction":d.DirectionStyle,"attributors/style/font":v.FontStyle,"attributors/style/size":O.SizeStyle},!0),u.default.register({"formats/align":c.AlignClass,"formats/direction":d.DirectionClass,"formats/indent":g.IndentClass,"formats/background":C.BackgroundStyle,"formats/color":E.ColorStyle,"formats/font":v.FontClass,"formats/size":O.SizeClass,"formats/blockquote":h.default,"formats/code-block":U.default,"formats/header":m.default,"formats/list":b.default,"formats/bold":A.default,"formats/code":j.Code,"formats/italic":F.default,"formats/link":z.default,"formats/script":L.default,"formats/strike":M.default,"formats/underline":Y.default,"formats/image":K.default,"formats/video":Z.default,"formats/list/item":y.ListItem,"modules/formula":X.default,"modules/syntax":ae.default,"modules/toolbar":ie.default,"themes/bubble":ue.default,"themes/snow":Te.default,"ui/icons":ce.default,"ui/picker":Ie.default,"ui/icon-picker":We.default,"ui/color-picker":Ue.default,"ui/tooltip":ot.default},!0),r.default=u.default},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0}),r.IndentClass=void 0;var l=function(){function b(C,E){for(var v=0;v0&&this.children.tail.format(F,G)}},{key:"formats",value:function(){return y({},this.statics.blotName,this.statics.formats(this.domNode))}},{key:"insertBefore",value:function(F,G){if(F instanceof v)u(A.prototype.__proto__||Object.getPrototypeOf(A.prototype),"insertBefore",this).call(this,F,G);else{var z=G==null?this.length():G.offset(this),k=this.split(z);k.parent.insertBefore(F,k)}}},{key:"optimize",value:function(F){u(A.prototype.__proto__||Object.getPrototypeOf(A.prototype),"optimize",this).call(this,F);var G=this.next;G!=null&&G.prev===this&&G.statics.blotName===this.statics.blotName&&G.domNode.tagName===this.domNode.tagName&&G.domNode.getAttribute("data-checked")===this.domNode.getAttribute("data-checked")&&(G.moveChildren(this),G.remove())}},{key:"replace",value:function(F){if(F.statics.blotName!==this.statics.blotName){var G=d.default.create(this.statics.defaultChild);F.moveChildren(G),this.appendChild(G)}u(A.prototype.__proto__||Object.getPrototypeOf(A.prototype),"replace",this).call(this,F)}}]),A}(p.default);O.blotName="list",O.scope=d.default.Scope.BLOCK_BLOT,O.tagName=["OL","UL"],O.defaultChild="list-item",O.allowedChildren=[v],r.ListItem=v,r.default=O},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=a(56),u=c(l);function c(p){return p&&p.__esModule?p:{default:p}}function d(p,m){if(!(p instanceof m))throw new TypeError("Cannot call a class as a function")}function g(p,m){if(!p)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return m&&(typeof m=="object"||typeof m=="function")?m:p}function f(p,m){if(typeof m!="function"&&m!==null)throw new TypeError("Super expression must either be null or a function, not "+typeof m);p.prototype=Object.create(m&&m.prototype,{constructor:{value:p,enumerable:!1,writable:!0,configurable:!0}}),m&&(Object.setPrototypeOf?Object.setPrototypeOf(p,m):p.__proto__=m)}var h=function(p){f(m,p);function m(){return d(this,m),g(this,(m.__proto__||Object.getPrototypeOf(m)).apply(this,arguments))}return m}(u.default);h.blotName="italic",h.tagName=["EM","I"],r.default=h},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=function(){function y(b,C){for(var E=0;E-1?S?this.domNode.setAttribute(O,S):this.domNode.removeAttribute(O):u(E.prototype.__proto__||Object.getPrototypeOf(E.prototype),"format",this).call(this,O,S)}}],[{key:"create",value:function(O){var S=u(E.__proto__||Object.getPrototypeOf(E),"create",this).call(this,O);return typeof O=="string"&&S.setAttribute("src",this.sanitize(O)),S}},{key:"formats",value:function(O){return y.reduce(function(S,A){return O.hasAttribute(A)&&(S[A]=O.getAttribute(A)),S},{})}},{key:"match",value:function(O){return/\.(jpe?g|gif|png)$/.test(O)||/^data:image\/.+;base64/.test(O)}},{key:"sanitize",value:function(O){return(0,g.sanitize)(O,["http","https","data"])?O:"//:0"}},{key:"value",value:function(O){return O.getAttribute("src")}}]),E}(d.default.Embed);b.blotName="image",b.tagName="IMG",r.default=b},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0});var l=function(){function C(E,v){for(var O=0;O-1?S?this.domNode.setAttribute(O,S):this.domNode.removeAttribute(O):u(E.prototype.__proto__||Object.getPrototypeOf(E.prototype),"format",this).call(this,O,S)}}],[{key:"create",value:function(O){var S=u(E.__proto__||Object.getPrototypeOf(E),"create",this).call(this,O);return S.setAttribute("frameborder","0"),S.setAttribute("allowfullscreen",!0),S.setAttribute("src",this.sanitize(O)),S}},{key:"formats",value:function(O){return y.reduce(function(S,A){return O.hasAttribute(A)&&(S[A]=O.getAttribute(A)),S},{})}},{key:"sanitize",value:function(O){return g.default.sanitize(O)}},{key:"value",value:function(O){return O.getAttribute("src")}}]),E}(c.BlockEmbed);b.blotName="video",b.className="ql-video",b.tagName="IFRAME",r.default=b},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0}),r.default=r.FormulaBlot=void 0;var l=function(){function O(S,A){for(var P=0;P0||this.cachedText==null)&&(this.domNode.innerHTML=z(k),this.domNode.normalize(),this.attach()),this.cachedText=k)}}]),F}(y.default);O.className="ql-syntax";var S=new d.default.Attributor.Class("token","hljs",{scope:d.default.Scope.INLINE}),A=function(P){v(F,P),l(F,null,[{key:"register",value:function(){f.default.register(S,!0),f.default.register(O,!0)}}]);function F(G,z){C(this,F);var k=E(this,(F.__proto__||Object.getPrototypeOf(F)).call(this,G,z));if(typeof k.options.highlight!="function")throw new Error("Syntax module requires highlight.js. Please include the library on the page before Quill.");var L=null;return k.quill.on(f.default.events.SCROLL_OPTIMIZE,function(){clearTimeout(L),L=setTimeout(function(){k.highlight(),L=null},k.options.interval)}),k.highlight(),k}return l(F,[{key:"highlight",value:function(){var z=this;if(!this.quill.selection.composing){this.quill.update(f.default.sources.USER);var k=this.quill.getSelection();this.quill.scroll.descendants(O).forEach(function(L){L.highlight(z.options.highlight)}),this.quill.update(f.default.sources.SILENT),k!=null&&this.quill.setSelection(k,f.default.sources.SILENT)}}}]),F}(p.default);A.DEFAULTS={highlight:function(){return window.hljs==null?null:function(P){var F=window.hljs.highlightAuto(P);return F.value}}(),interval:1e3},r.CodeBlock=O,r.CodeToken=S,r.default=A},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r){n.exports=' '},function(n,r,a){Object.defineProperty(r,"__esModule",{value:!0}),r.default=r.BubbleTooltip=void 0;var l=function F(G,z,k){G===null&&(G=Function.prototype);var L=Object.getOwnPropertyDescriptor(G,z);if(L===void 0){var w=Object.getPrototypeOf(G);return w===null?void 0:F(w,z,k)}else{if("value"in L)return L.value;var M=L.get;return M===void 0?void 0:M.call(k)}},u=function(){function F(G,z){for(var k=0;k0&&Y===f.default.sources.USER){L.show(),L.root.style.left="0px",L.root.style.width="",L.root.style.width=L.root.offsetWidth+"px";var J=L.quill.getLines(M.index,M.length);if(J.length===1)L.position(L.quill.getBounds(M));else{var K=J[J.length-1],re=L.quill.getIndex(K),Z=Math.min(K.length()-1,M.index+M.length-re),j=L.quill.getBounds(new m.Range(re,Z));L.position(j)}}else document.activeElement!==L.textbox&&L.quill.hasFocus()&&L.hide()}),L}return u(G,[{key:"listen",value:function(){var k=this;l(G.prototype.__proto__||Object.getPrototypeOf(G.prototype),"listen",this).call(this),this.root.querySelector(".ql-close").addEventListener("click",function(){k.root.classList.remove("ql-editing")}),this.quill.on(f.default.events.SCROLL_OPTIMIZE,function(){setTimeout(function(){if(!k.root.classList.contains("ql-hidden")){var L=k.quill.getSelection();L!=null&&k.position(k.quill.getBounds(L))}},1)})}},{key:"cancel",value:function(){this.show()}},{key:"position",value:function(k){var L=l(G.prototype.__proto__||Object.getPrototypeOf(G.prototype),"position",this).call(this,k),w=this.root.querySelector(".ql-tooltip-arrow");if(w.style.marginLeft="",L===0)return L;w.style.marginLeft=-1*L-w.offsetWidth/2+"px"}}]),G}(h.BaseTooltip);P.TEMPLATE=['','
','','',"
"].join(""),r.BubbleTooltip=P,r.default=A},function(n,r,a){n.exports=a(63)}]).default})})(Rc);var Ht=Yu(Rc.exports);function di(e){return typeof Symbol=="function"&&typeof Symbol.iterator=="symbol"?di=function(t){return typeof t}:di=function(t){return t&&typeof Symbol=="function"&&t.constructor===Symbol&&t!==Symbol.prototype?"symbol":typeof t},di(e)}function Oc(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function hl(e,t){for(var n=0;ne.length)&&(t=e.length);for(var n=0,r=new Array(t);n=e.length?{done:!0}:{done:!1,value:e[r++]}},e:function(d){throw d},f:a}}throw new TypeError(`Invalid attempt to iterate non-iterable instance. In order to be iterable, non-array objects must have a [Symbol.iterator]() method.`)}var l=!0,u=!1,c;return{s:function(){n=n.call(e)},n:function(){var d=n.next();return l=d.done,d},e:function(d){u=!0,c=d},f:function(){try{!l&&n.return!=null&&n.return()}finally{if(u)throw c}}}}var nn={TAB:9,ENTER:13,ESCAPE:27,UP:38,DOWN:40};function iJ(e,t,n){var r=e;return Object.keys(t).forEach(function(a){n.indexOf(a)>-1?r.dataset[a]=t[a]:delete r.dataset[a]}),r}function aJ(e,t){return t.reduce(function(n,r){var a=e.lastIndexOf(r);return a>n.mentionCharIndex?{mentionChar:r,mentionCharIndex:a}:{mentionChar:n.mentionChar,mentionCharIndex:n.mentionCharIndex}},{mentionChar:null,mentionCharIndex:-1})}function oJ(e,t){return t.test(e)}function sJ(e,t,n){return e>-1?!(n&&!(e===0||!!t[e-1].match(/\s/g))):!1}function lJ(e){return di(e)!=="object"||e===null?e:JSON.parse(JSON.stringify(e))}var cJ=Ht.import("blots/embed"),Ii=function(e){XZ(n,e);var t=eJ(n);function n(r,a){var l;return Oc(this,n),l=t.call(this,r,a),El(Ga(l),"hoverHandler",void 0),El(Ga(l),"hoverHandler",void 0),l.clickHandler=null,l.hoverHandler=null,l.mounted=!1,l}return Nc(n,[{key:"attach",value:function(){Kn(gn(n.prototype),"attach",this).call(this),this.mounted||(this.mounted=!0,this.clickHandler=this.getClickHandler(),this.hoverHandler=this.getHoverHandler(),this.domNode.addEventListener("click",this.clickHandler,!1),this.domNode.addEventListener("mouseenter",this.hoverHandler,!1))}},{key:"detach",value:function(){Kn(gn(n.prototype),"detach",this).call(this),this.mounted=!1,this.clickHandler&&(this.domNode.removeEventListener("click",this.clickHandler),this.clickHandler=null)}},{key:"getClickHandler",value:function(){var a=this;return function(l){var u=a.buildEvent("mention-clicked",l);window.dispatchEvent(u),l.preventDefault()}}},{key:"getHoverHandler",value:function(){var a=this;return function(l){var u=a.buildEvent("mention-hovered",l);window.dispatchEvent(u),l.preventDefault()}}},{key:"buildEvent",value:function(a,l){var u=new Event(a,{bubbles:!0,cancelable:!0});return u.value=Ei({},this.domNode.dataset),u.event=l,u}}],[{key:"create",value:function(a){var l=Kn(gn(n),"create",this).call(this),u=document.createElement("span");return u.className="ql-mention-denotation-char",u.innerHTML=a.denotationChar,l.appendChild(u),l.innerHTML+=a.value,n.setDataValues(l,a)}},{key:"setDataValues",value:function(a,l){var u=a;return Object.keys(l).forEach(function(c){u.dataset[c]=l[c]}),u}},{key:"value",value:function(a){return a.dataset}}]),n}(cJ);Ii.blotName="mention";Ii.tagName="span";Ii.className="mention";Ht.register(Ii);var uJ=function(){function e(t,n){var r=this;Oc(this,e),this.isOpen=!1,this.itemIndex=0,this.mentionCharPos=null,this.cursorPos=null,this.values=[],this.suspendMouseEnter=!1,this.existingSourceExecutionToken=null,this.quill=t,this.options={source:null,renderItem:function(d){return"".concat(d.value)},renderLoading:function(){return null},onSelect:function(d,g){g(d)},mentionDenotationChars:["@"],showDenotationChar:!0,allowedChars:/^[a-zA-Z0-9_]*$/,minChars:0,maxChars:31,offsetTop:2,offsetLeft:0,isolateCharacter:!1,fixMentionsToQuill:!1,positioningStrategy:"normal",defaultMenuOrientation:"bottom",blotName:"mention",dataAttributes:["id","value","denotationChar","link","target","disabled"],linkTarget:"_blank",onOpen:function(){return!0},onBeforeClose:function(){return!0},onClose:function(){return!0},listItemClass:"ql-mention-list-item",mentionContainerClass:"ql-mention-list-container",mentionListClass:"ql-mention-list",spaceAfterInsert:!0,selectKeys:[nn.ENTER]},Ei(this.options,n,{dataAttributes:Array.isArray(n.dataAttributes)?this.options.dataAttributes.concat(n.dataAttributes):this.options.dataAttributes}),this.mentionContainer=document.createElement("div"),this.mentionContainer.className=this.options.mentionContainerClass?this.options.mentionContainerClass:"",this.mentionContainer.style.cssText="display: none; position: absolute;",this.mentionContainer.onmousemove=this.onContainerMouseMove.bind(this),this.options.fixMentionsToQuill&&(this.mentionContainer.style.width="auto"),this.mentionList=document.createElement("ul"),this.mentionList.id="quill-mention-list",t.root.setAttribute("aria-owns","quill-mention-list"),this.mentionList.className=this.options.mentionListClass?this.options.mentionListClass:"",this.mentionContainer.appendChild(this.mentionList),t.on("text-change",this.onTextChange.bind(this)),t.on("selection-change",this.onSelectionChange.bind(this)),t.container.addEventListener("paste",function(){setTimeout(function(){var c=t.getSelection();r.onSelectionChange(c)})}),t.keyboard.addBinding({key:nn.TAB},this.selectHandler.bind(this)),t.keyboard.bindings[nn.TAB].unshift(t.keyboard.bindings[nn.TAB].pop());var a=rJ(this.options.selectKeys),l;try{for(a.s();!(l=a.n()).done;){var u=l.value;t.keyboard.addBinding({key:u},this.selectHandler.bind(this))}}catch(c){a.e(c)}finally{a.f()}t.keyboard.bindings[nn.ENTER].unshift(t.keyboard.bindings[nn.ENTER].pop()),t.keyboard.addBinding({key:nn.ESCAPE},this.escapeHandler.bind(this)),t.keyboard.addBinding({key:nn.UP},this.upHandler.bind(this)),t.keyboard.addBinding({key:nn.DOWN},this.downHandler.bind(this))}return Nc(e,[{key:"selectHandler",value:function(){return this.isOpen&&!this.existingSourceExecutionToken?(this.selectItem(),!1):!0}},{key:"escapeHandler",value:function(){return this.isOpen?(this.existingSourceExecutionToken&&(this.existingSourceExecutionToken.abandoned=!0),this.hideMentionList(),!1):!0}},{key:"upHandler",value:function(){return this.isOpen&&!this.existingSourceExecutionToken?(this.prevItem(),!1):!0}},{key:"downHandler",value:function(){return this.isOpen&&!this.existingSourceExecutionToken?(this.nextItem(),!1):!0}},{key:"showMentionList",value:function(){this.options.positioningStrategy==="fixed"?document.body.appendChild(this.mentionContainer):this.quill.container.appendChild(this.mentionContainer),this.mentionContainer.style.visibility="hidden",this.mentionContainer.style.display="",this.mentionContainer.scrollTop=0,this.setMentionContainerPosition(),this.setIsOpen(!0)}},{key:"hideMentionList",value:function(){this.options.onBeforeClose(),this.mentionContainer.style.display="none",this.mentionContainer.remove(),this.setIsOpen(!1),this.quill.root.removeAttribute("aria-activedescendant")}},{key:"highlightItem",value:function(){for(var n=arguments.length>0&&arguments[0]!==void 0?arguments[0]:!0,r=0;rc-a&&(this.mentionContainer.scrollTop+=l-c+a)}}},{key:"getItemData",value:function(){var n=this.mentionList.childNodes[this.itemIndex].dataset.link,r=typeof n!="undefined",a=this.mentionList.childNodes[this.itemIndex].dataset.target;return r&&(this.mentionList.childNodes[this.itemIndex].dataset.value='-1&&(p=setTimeout(function(){f(),h(),a({statusText:"Request Timeout",status:408})},n)),window[u]=function(y){clearTimeout(p),f(),h(),r(y)};var m=document.createElement("script");m.addEventListener("error",g),m.src=e+(/\?/.test(e)?"&":"?")+d,document.body.appendChild(m)})}var _J=function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("div",{staticClass:"chat-emoji-wrapper"},[n("div",{staticClass:"chat-emoji-box"},[e.type==="emosearch"?n("div",{staticClass:"chat-emoji-emosearch"},[n("Input",{attrs:{clearable:"",placeholder:e.$L("\u641C\u7D22\u8868\u60C5")},model:{value:e.emosearchKey,callback:function(r){e.emosearchKey=r},expression:"emosearchKey"}},[n("Icon",{class:{"icon-loading":e.emosearchLoad},attrs:{slot:"prefix",type:e.emosearchLoad?"ios-loading":"ios-search"},slot:"prefix"})],1)],1):e.type==="emoji"?n("div",{staticClass:"chat-emoji-nav"},e._l(e.emojiNavList,function(r){var a;return n("div",{key:r.type,class:(a={},a[`i-${r.name}`]=!0,a.active=r.type===e.emojiNavActive,a),domProps:{innerHTML:e._s(r.content)},on:{click:function(l){e.emojiNavActive=r.type}}})}),0):e._e(),n("Scrollbar",[n("ul",{class:[e.type,"no-dark-content"]},e._l(e.list,function(r){return n("li",{on:{click:function(a){return e.onSelect(a,r)}}},[r.type==="emoticon"?n("img",{attrs:{src:r.src,title:r.name,alt:r.name}}):n("span",{attrs:{title:r.name},domProps:{innerHTML:e._s(r.html)}})])}),0)])],1),e.onlyEmoji?e._e():n("ul",{ref:"chatEmojiMenuRef",staticClass:"chat-emoji-menu",style:e.chatEmojiMenuStyle,on:{scroll:e.onHandleScroll}},[e.showEmojiMenuScrollLeftBtn?n("li",{staticClass:"left-btn",on:{click:function(r){return e.onEmojiMenuScroll("left")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE72D")])]):e._e(),n("li",{class:{active:e.type==="emosearch"},on:{click:function(r){e.type="emosearch"}}},[n("i",{staticClass:"taskfont"},[e._v("\uE6F8")])]),n("li",{class:{active:e.type==="emoji"},on:{click:function(r){e.type="emoji"}}},[n("span",{staticClass:"no-dark-content"},[e._v("\u{1F600}")])]),e._l(e.emoticonData,function(r){return n("li",{class:{active:e.type==="emoticon"&&e.emoticonPath==r.path},on:{click:function(a){return e.onEmoticon(r.path)}}},[n("img",{attrs:{title:r.name,alt:r.name,src:r.src}})])}),e.showEmojiMenuScrollRightBtn?n("li",{staticClass:"right-btn",on:{click:function(r){return e.onEmojiMenuScroll("right")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE733")])]):e._e()],2)])},pJ=[];const mJ={name:"ChatEmoji",props:{searchKey:{type:String,default:""},onlyEmoji:{type:Boolean,default:!1}},data(){return{type:"emoji",emojiNavActive:"p",emojiNavList:[{type:"p",name:"people",content:''},{type:"n",name:"nature",content:` `},{type:"d",name:"food",content:''},{type:"s",name:"symbols",content:''},{type:"a",name:"activity",content:''},{type:"t",name:"travel",content:''},{type:"o",name:"objects",content:''},{type:"f",name:"flags",content:''}],emoticonPath:"",emosearchKey:"",emosearchCache:null,emosearchLoad:!1,emosearchTimer:null,emosearchList:[],emojiData:[],emoticonData:[],emojiMenuScrollLeft:0}},mounted(){this.initData(),this.$store.state.windowPortrait||this.onMonitorWheel()},watch:{type(){this.onEmosearch()},emosearchKey(){this.onEmosearch()},searchKey:{handler(e){this.emosearchKey=e},immediate:!0}},computed:{list(){if(this.type==="emoji")return this.emojiData.filter(e=>e.category===this.emojiNavActive);if(this.type==="emosearch")return this.emosearchList;if(this.type==="emoticon"){const e=this.emoticonData.find(({path:t})=>t===this.emoticonPath);if(e)return e.list}return[]},chatEmojiMenuStyle(){return{paddingLeft:this.showEmojiMenuScrollLeftBtn?"34px":0,paddingRight:this.showEmojiMenuScrollRightBtn?"34px":0}},showEmojiMenuScrollLeftBtn(){return this.emojiMenuScrollLeft>34},showEmojiMenuScrollRightBtn(){var n;const e=this.$refs.chatEmojiMenuRef,t=((n=e==null?void 0:e.querySelector("li"))==null?void 0:n.offsetWidth)||48;return this.emojiMenuScrollLeft{const t=$A.apiUrl("../images/emoticon");$A.isArray(window.emojiData)&&(this.emojiData=window.emojiData.sort(function(n,r){return n.emoji_order-r.emoji_order}).map(n=>({type:"emoji",name:n.name,category:n.category,html:n.code_decimal}))),$A.isArray(window.emoticonData)&&(this.emoticonData=window.emoticonData.map(n=>Object.assign(n,{src:`${t}/${n.path}/${n.icon}`,list:n.list.map(r=>Object.assign(r,{type:"emoticon",asset:`images/emoticon/${n.path}/${r.path}`,src:`${t}/${n.path}/${r.path}`}))})))})},onEmosearch(){this.type!=="emosearch"||this.emosearchCache===this.emosearchKey||(this.emosearchCache=this.emosearchKey,this.emosearchLoad=!0,this.emosearchTimer&&clearTimeout(this.emosearchTimer),this.emosearchTimer=setTimeout(e=>{dJ("https://pic.sogou.com/napi/wap/pic",{query:this.emosearchKey+" \u8868\u60C5"}).then(t=>{if(this.emosearchList=[],t.status===0){const n=t.data.items;n.length>0&&(this.emosearchList=n.map(r=>({type:"emoticon",asset:"emosearch",name:r.title,src:r.thumbUrl,height:r.thumbHeight,width:r.thumbWidth})))}this.emosearchList.length===0&&$A.noticeWarning("\u6CA1\u6709\u641C\u7D22\u5230\u4EFB\u4F55\u8868\u60C5")}).catch(t=>{this.emosearchList=[],$A.noticeWarning("\u641C\u7D22\u7ED3\u679C\u4E3A\u7A7A")}).finally(t=>{this.emosearchLoad=!1})},300))},onEmoticon(e){this.type="emoticon",this.emoticonPath=e},onSelect(e,t){t.type==="emoji"?this.$emit("on-select",{type:"emoji",text:e.target.innerText}):this.$emit("on-select",t)},onMonitorWheel(){const e=this.$refs.chatEmojiMenuRef;e==null||e.addEventListener("wheel",t=>{t.preventDefault(),e.scrollLeft+=t.deltaY})},onEmojiMenuScroll(e){const t=this.$refs.chatEmojiMenuRef,n=t.offsetWidth-68,r=e=="right"?t.scrollLeft+n:t.scrollLeft-n;t.scrollTo({left:r,behavior:"smooth"})},onHandleScroll(e){this.emojiMenuScrollLeft=e.target.scrollLeft}}},bl={};var fJ=Kt(mJ,_J,pJ,!1,gJ,null,null,null);function gJ(e){for(let t in bl)this[t]=bl[t]}var Ic=function(){return fJ.exports}();const vl="ontouchend"in document;var hJ={bind(e,t){let n=!1;e.__touchMouseDown__=r=>{r.preventDefault(),n=!0,t.value("down",r)},e.__touchMouseMove__=r=>{n&&t.value("move",r)},e.__touchMouseUp__=r=>{n&&(n=!1,t.value("up"))},vl?(e.addEventListener("touchstart",e.__touchMouseDown__),e.addEventListener("touchmove",e.__touchMouseMove__),e.addEventListener("touchend",e.__touchMouseUp__)):(e.addEventListener("mousedown",e.__touchMouseDown__),document.addEventListener("mousemove",e.__touchMouseMove__),document.addEventListener("mouseup",e.__touchMouseUp__))},update(){},unbind(e){vl?(e.removeEventListener("touchstart",e.__touchMouseDown__),e.removeEventListener("touchmove",e.__touchMouseMove__),e.removeEventListener("touchend",e.__touchMouseUp__)):(e.removeEventListener("mousedown",e.__touchMouseDown__),document.removeEventListener("mousemove",e.__touchMouseMove__),document.removeEventListener("mouseup",e.__touchMouseUp__)),delete e.__touchMouseDown__,delete e.__touchMouseMove__,delete e.__touchMouseUp__}};function $n(e){return e===void 0&&(e=document.body),e===!0?document.body:e instanceof window.Node?e:document.querySelector(e)}const EJ={inserted(e,{value:t},n){if(e.dataset&&e.dataset.transfer!=="true")return!1;e.className=e.className?e.className+" v-transfer-dom":"v-transfer-dom";const r=e.parentNode;if(!r)return;const a=document.createComment("");let l=!1;t!==!1&&(r.replaceChild(a,e),$n(t).appendChild(e),l=!0),e.__transferDomData||(e.__transferDomData={parentNode:r,home:a,target:$n(t),hasMovedOut:l})},componentUpdated(e,{value:t}){if(e.dataset&&e.dataset.transfer!=="true")return!1;const n=e.__transferDomData;if(!n)return;const r=n.parentNode,a=n.home,l=n.hasMovedOut;!l&&t?(r.replaceChild(a,e),$n(t).appendChild(e),e.__transferDomData=Object.assign({},e.__transferDomData,{hasMovedOut:!0,target:$n(t)})):l&&t===!1?(r.replaceChild(e,a),e.__transferDomData=Object.assign({},e.__transferDomData,{hasMovedOut:!1,target:$n(t)})):t&&$n(t).appendChild(e)},unbind(e){if(e.dataset&&e.dataset.transfer!=="true")return!1;e.className=e.className.replace("v-transfer-dom",""),e.__transferDomData&&(e.__transferDomData.hasMovedOut===!0&&e.__transferDomData.parentNode&&e.__transferDomData.parentNode.appendChild(e),e.__transferDomData=null)}};var SJ=function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("div",{directives:[{name:"clickoutside",rawName:"v-clickoutside",value:e.hidePopover,expression:"hidePopover"}],staticClass:"chat-input-box",class:e.boxClass},[n("div",{staticClass:"chat-input-quick-emoji"},[n("EPopover",{ref:"emojiQuickRef",attrs:{visibleArrow:!1,transition:"",placement:"top-end",popperClass:"chat-quick-emoji-popover"},model:{value:e.emojiQuickShow,callback:function(r){e.emojiQuickShow=r},expression:"emojiQuickShow"}},[n("div",{attrs:{slot:"reference"},slot:"reference"}),n("Scrollbar",{ref:"emojiWrapper",attrs:{tag:"ul","enable-x":!0,"enable-y":!1,"class-name":"chat-quick-emoji-wrapper scrollbar-hidden"}},e._l(e.emojiQuickItems,function(r){return n("li",{on:{click:function(a){return e.onEmojiQuick(r)}}},[n("img",{attrs:{title:r.name,alt:r.name,src:r.src}})])}),0)],1)],1),n("div",{ref:"inputWrapper",staticClass:"chat-input-wrapper",on:{click:function(r){return r.stopPropagation(),e.focus.apply(null,arguments)}}},[e.quoteData?n("div",{staticClass:"chat-quote"},[e.quoteUpdate?n("div",{staticClass:"quote-label"},[e._v(e._s(e.$L("\u7F16\u8F91\u6D88\u606F")))]):n("UserAvatar",{attrs:{userid:e.quoteData.userid,"show-icon":!1,"show-name":!0}}),n("div",{staticClass:"quote-desc"},[e._v(e._s(e.$A.getMsgSimpleDesc(e.quoteData)))]),n("i",{staticClass:"taskfont",on:{click:function(r){return r.stopPropagation(),e.cancelQuote.apply(null,arguments)}}},[e._v("\uE6E5")])],1):e._e(),n("div",{ref:"editor",staticClass:"no-dark-content",style:e.editorStyle,on:{click:function(r){return r.stopPropagation(),e.onClickEditor.apply(null,arguments)},paste:e.handlePaste}}),n("ul",{staticClass:"chat-toolbar",on:{click:function(r){r.stopPropagation()}}},[n("li",[e.emojiBottom?n("ETooltip",{ref:"emojiTip",attrs:{disabled:e.$isEEUiApp||e.windowTouch||e.showEmoji,placement:"top",content:e.$L("\u8868\u60C5")}},[n("i",{staticClass:"taskfont",on:{click:function(r){e.showEmoji=!e.showEmoji}}},[e._v("\uE7AD")])]):n("EPopover",{attrs:{visibleArrow:!1,placement:"top",popperClass:"chat-input-emoji-popover"},model:{value:e.showEmoji,callback:function(r){e.showEmoji=r},expression:"showEmoji"}},[n("ETooltip",{ref:"emojiTip",attrs:{slot:"reference",disabled:e.$isEEUiApp||e.windowTouch||e.showEmoji,placement:"top",content:e.$L("\u8868\u60C5")},slot:"reference"},[n("i",{staticClass:"taskfont"},[e._v("\uE7AD")])]),e.showEmoji?n("ChatEmoji",{attrs:{searchKey:e.emojiQuickKey},on:{"on-select":e.onSelectEmoji}}):e._e()],1)],1),n("li",[n("ETooltip",{attrs:{placement:"top",disabled:e.$isEEUiApp||e.windowTouch,content:e.$L("\u9009\u62E9\u6210\u5458")}},[n("i",{staticClass:"taskfont",on:{click:function(r){return e.onToolbar("user")}}},[e._v("\uE78F")])])],1),n("li",[n("ETooltip",{attrs:{placement:"top",disabled:e.$isEEUiApp||e.windowTouch,content:e.$L("\u9009\u62E9\u4EFB\u52A1")}},[n("i",{staticClass:"taskfont",on:{click:function(r){return e.onToolbar("task")}}},[e._v("\uE7D6")])])],1),n("li",[n("EPopover",{attrs:{visibleArrow:!1,placement:"top",popperClass:"chat-input-more-popover"},model:{value:e.showMore,callback:function(r){e.showMore=r},expression:"showMore"}},[n("ETooltip",{ref:"moreTip",attrs:{slot:"reference",disabled:e.$isEEUiApp||e.windowTouch||e.showMore,placement:"top",content:e.$L("\u5C55\u5F00")},slot:"reference"},[n("i",{staticClass:"taskfont"},[e._v("\uE790")])]),e.recordReady?n("div",{staticClass:"chat-input-popover-item",on:{click:function(r){return e.onToolbar("meeting")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE7C1")]),e._v(" "+e._s(e.$L("\u65B0\u4F1A\u8BAE"))+" ")]):e._e(),e.canCall?n("div",{staticClass:"chat-input-popover-item",on:{click:function(r){return e.onToolbar("call")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE7BA")]),e._v(" "+e._s(e.$L("\u62E8\u6253\u7535\u8BDD"))+" ")]):e._e(),n("div",{staticClass:"chat-input-popover-item",on:{click:function(r){return e.onToolbar("image")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE7BC")]),e._v(" "+e._s(e.$L("\u53D1\u9001\u56FE\u7247"))+" ")]),n("div",{staticClass:"chat-input-popover-item",on:{click:function(r){return e.onToolbar("file")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE7C0")]),e._v(" "+e._s(e.$L("\u4E0A\u4F20\u6587\u4EF6"))+" ")]),e.canAnon?n("div",{staticClass:"chat-input-popover-item",on:{click:function(r){return e.onToolbar("anon")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE690")]),e._v(" "+e._s(e.$L("\u533F\u540D\u6D88\u606F"))+" ")]):e._e(),n("div",{staticClass:"chat-input-popover-item",on:{click:function(r){return e.onToolbar("full")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE6A7")]),e._v(" "+e._s(e.$L("\u5168\u5C4F\u8F93\u5165"))+" ")])],1)],1),n("li",{directives:[{name:"touchmouse",rawName:"v-touchmouse",value:e.clickSend,expression:"clickSend"},{name:"longpress",rawName:"v-longpress",value:{callback:e.onShowMenu,delay:300},expression:"{callback: onShowMenu, delay: 300}"}],ref:"chatSend",staticClass:"chat-send",class:e.sendClass},[n("EPopover",{attrs:{visibleArrow:!1,trigger:"manual",placement:"top",popperClass:"chat-input-more-popover"},model:{value:e.showMenu,callback:function(r){e.showMenu=r},expression:"showMenu"}},[n("ETooltip",{ref:"sendTip",attrs:{slot:"reference",placement:"top",disabled:e.$isEEUiApp||e.windowTouch||e.showMenu,content:e.$L(e.sendContent)},slot:"reference"},[e.loading?n("div",[n("div",{staticClass:"chat-load"},[n("Loading")],1)]):n("div",[n("transition",{attrs:{name:"mobile-send"}},[e.sendClass==="recorder"?n("i",{staticClass:"taskfont"},[e._v("\uE609")]):e._e()]),n("transition",{attrs:{name:"mobile-send"}},[e.sendClass!=="recorder"?n("i",{staticClass:"taskfont"},[e._v("\uE606")]):e._e()])],1)]),n("div",{staticClass:"chat-input-popover-item",on:{click:function(r){return e.onSend("silence")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE7D7")]),e._v(" "+e._s(e.$L("\u65E0\u58F0\u53D1\u9001"))+" ")]),n("div",{staticClass:"chat-input-popover-item",on:{click:function(r){return e.onSend("md")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE647")]),e._v(" "+e._s(e.$L("Markdown \u683C\u5F0F\u53D1\u9001"))+" ")])],1)],1),n("li",{staticClass:"chat-record-recwave"},[n("div",{ref:"recwave"})])]),n("div",{staticClass:"chat-cover",on:{click:function(r){return r.stopPropagation(),e.onClickCover.apply(null,arguments)}}})]),e.emojiBottom&&e.showEmoji?n("ChatEmoji",{attrs:{searchKey:e.emojiQuickKey},on:{"on-select":e.onSelectEmoji}}):e._e(),n("transition",{attrs:{name:"fade"}},[["ready","ing"].includes(e.recordState)?n("div",{directives:[{name:"transfer-dom",rawName:"v-transfer-dom"}],staticClass:"chat-input-record-transfer",class:{cancel:e.touchLimitY},style:e.recordTransferStyle,attrs:{"data-transfer":!0},on:{click:e.stopRecord}},[e.recordDuration>0?n("div",{staticClass:"record-duration"},[e._v(e._s(e.recordFormatDuration))]):n("div",{staticClass:"record-loading"},[n("Loading")],1),n("div",{staticClass:"record-cancel",on:{click:function(r){return r.stopPropagation(),e.stopRecord(!0)}}},[e._v(e._s(e.$L(e.touchLimitY?"\u677E\u5F00\u53D6\u6D88":"\u5411\u4E0A\u6ED1\u52A8\u53D6\u6D88")))])]):e._e()]),n("Modal",{attrs:{"mask-closable":!1,beforeClose:e.onFullBeforeClose,"class-name":"chat-input-full-input","footer-hide":"",fullscreen:""},model:{value:e.fullInput,callback:function(r){e.fullInput=r},expression:"fullInput"}},[n("div",{staticClass:"chat-input-box"},[n("div",{staticClass:"chat-input-wrapper"},[n("div",{ref:"editorFull",staticClass:"no-dark-content"})])]),n("i",{staticClass:"taskfont",attrs:{slot:"close"},slot:"close"},[e._v("\uE6AB")])])],1)},bJ=[];const vJ={name:"ChatInput",components:{ChatEmoji:Ic},directives:{touchmouse:hJ,TransferDom:EJ,clickoutside:zu,longpress:za},props:{value:{type:[String,Number],default:""},dialogId:{type:Number,default:0},taskId:{type:Number,default:0},placeholder:{type:String,default:""},disabled:{type:Boolean,default:!1},disabledRecord:{type:Boolean,default:!1},loading:{type:Boolean,default:!1},enterSend:{type:[String,Boolean],default:null},emojiBottom:{type:Boolean,default:!1},sendMenu:{type:Boolean,default:!0},options:{type:Object,default:()=>({})},toolbar:{type:Array,default:()=>["bold","strike","italic","underline",{list:"ordered"},{list:"bullet"},"blockquote","code-block"]},maxlength:{type:Number},defaultMenuOrientation:{type:String,default:"top"}},data(){return{quill:null,isFocus:!1,rangeIndex:0,_content:"",_options:{},mentionMode:"",userList:null,userCache:null,taskList:null,fileList:{},showMenu:!1,showMore:!1,showEmoji:!1,emojiQuickShow:!1,emojiQuickKey:"",emojiQuickItems:[],observer:null,wrapperWidth:0,wrapperHeight:0,editorHeight:0,recordReady:!1,recordRec:null,recordBlob:null,recordWave:null,recordInter:null,recordState:"stop",recordDuration:0,touchStart:{},touchLimitX:!1,touchLimitY:!1,pasteClean:!0,changeLoad:0,isSpecVersion:this.checkIOSVersion(),emojiTimer:null,scrollTimer:null,selectTimer:null,textTimer:null,fileTimer:null,moreTimer:null,fullInput:!1,fullQuill:null}},mounted(){this.init(),this.observer=new ResizeObserver(e=>{e.some(({target:t,contentRect:n})=>{t===this.$el?(this.wrapperWidth=n.width,this.wrapperHeight=n.height):t===this.$refs.editor&&(this.editorHeight=n.height)})}),this.observer.observe(this.$el),this.observer.observe(this.$refs.editor),this.recordInter=setInterval(e=>{this.recordState==="ing"&&(this.__recordDuration&&this.__recordDuration===this.recordDuration?(this.__recordDuration=null,this.stopRecord(!0),$A.messageWarning("\u5F55\u97F3\u5931\u8D25\uFF0C\u8BF7\u91CD\u8BD5")):this.__recordDuration=this.recordDuration)},1e3),this.$isEEUiApp&&(window.__onPermissionRequest=(e,t)=>{e==="recordAudio"&&t===!1&&this.stopRecord(!0)}),$A.loadScript("js/emoticon.all.js")},beforeDestroy(){this.quill&&(this.quill=null),this.recordRec&&(this.recordRec=null),this.observer&&(this.observer.disconnect(),this.observer=null),this.recordInter&&clearInterval(this.recordInter)},computed:{...jn(["cacheProjects","cacheTasks","cacheUserBasic","cacheDialogs","dialogMsgs"]),isEnterSend({enterSend:e}){return typeof e=="boolean"?e:!0},canCall(){return this.dialogData.type==="user"&&!this.dialogData.bot&&this.$isEEUiApp},canAnon(){return this.dialogData.type==="user"&&!this.dialogData.bot},editorStyle(){const{wrapperWidth:e,editorHeight:t}=this,n={};return e>0&&t>0&&(e<280||t>40)&&(n.width="100%"),n},recordTransferStyle(){const{windowScrollY:e}=this;return e>0?{marginTop:e/2+"px"}:null},boxClass(){const e=[];return["ready","ing"].includes(this.recordState)&&(this.recordState==="ing"&&this.recordDuration>0?e.push("record-progress"):e.push("record-ready")),this.showMenu&&e.push("show-menu"),this.showMore&&e.push("show-more"),this.showEmoji&&e.push("show-emoji"),this.mentionMode&&e.push(this.mentionMode),e},sendClass(){return this.filterInvalidLine(this.value)?"sender":this.recordReady?"recorder":""},sendContent(){const{sendTip:e}=this.$refs;return e&&e.$refs.popper&&(e.$refs.popper.style.visibility="hidden",e.showPopper=!1,setTimeout(t=>{e.$refs.popper&&(e.$refs.popper.style.visibility="visible")},300)),this.sendClass==="recorder"?"\u957F\u6309\u5F55\u97F3":"\u53D1\u9001"},recordFormatDuration(){const{recordDuration:e}=this;let t=Math.floor(e/6e4),n=Math.floor(e/1e3)%60,r=("00"+e%1e3).substr(-2);return t<10&&(t=`0${t}`),n<10&&(n=`0${n}`),`${t}:${n}\u2033${r}`},dialogData(){return this.dialogId>0?this.cacheDialogs.find(({id:e})=>e==this.dialogId)||{}:{}},quoteUpdate(){return this.dialogData.extra_quote_type==="update"},quoteData(){const{extra_quote_id:e}=this.dialogData;return e?this.dialogMsgs.find(t=>t.id===e):null},separateSendButton(){var e;return((e=$A.jsonParse(window.localStorage.getItem("__keyboard:data__")))==null?void 0:e.separate_send_button)!=="close"}},watch:{value(e){this.quill&&(e&&e!==this._content?(this._content=e,this.setContent(e)):e||this.quill.setText("")),this.$store.dispatch("saveDialogDraft",{id:this.dialogId,extra_draft_content:this.filterInvalidLine(e)})},disabled(e){var t;(t=this.quill)==null||t.enable(!e)},dialogId(){this.userList=null,this.userCache=null,this.taskList=null,this.fileList={},this.loadInputDraft()},taskId(){this.userList=null,this.userCache=null,this.taskList=null,this.fileList={},this.loadInputDraft()},"dialogData.extra_draft_content"(){this.isFocus||this.loadInputDraft()},showMenu(e){e&&(this.showMore=!1,this.showEmoji=!1,this.emojiQuickShow=!1)},showMore(e){e&&(this.showMenu=!1,this.showEmoji=!1,this.emojiQuickShow=!1)},showEmoji(e){if(this.emojiBottom&&(e?this.quill.enable(!1):this.disabled||this.quill.enable(!0)),e){let t=this.value.replace(/ /g," ").replace(/<[^>]+>/g,"");if(t&&t.indexOf(" ")===-1&&t.length>=1&&t.length<=8?this.emojiQuickKey=t:this.emojiQuickKey="",this.showMenu=!1,this.showMore=!1,this.emojiQuickShow=!1,this.quill){const n=this.quill.selection.savedRange;this.rangeIndex=n?n.index:0}}else this.rangeIndex>0&&this.quill.setSelection(this.rangeIndex);this.$emit("on-emoji-visible-change",e)},emojiQuickShow(e){e&&(this.showMenu=!1,this.showMore=!1,this.showEmoji=!1)},isFocus(e){this.scrollTimer&&clearInterval(this.scrollTimer),e?(this.$emit("on-focus"),this.hidePopover(),this.isSpecVersion||this.windowPortrait&&(this.scrollTimer=setInterval(()=>{var t;(t=this.quill)!=null&&t.hasFocus()?this.windowScrollY>0&&$A.scrollIntoViewIfNeeded(this.$refs.editor):clearInterval(this.scrollTimer)},200))):this.$emit("on-blur")},recordState(e){e==="ing"?this.recordWave=window.Recorder.FrequencyHistogramView({elem:this.$refs.recwave,lineCount:90,position:0,minHeight:1,stripeEnable:!1}):(this.recordWave=null,this.$refs.recwave.innerHTML=""),this.$emit("on-record-state",e)},wrapperHeight(e,t){this.$emit("on-height-change",{newVal:e,oldVal:t})},fullInput(e){var t;(t=this.quill)==null||t.enable(!e)}},methods:{init(){this._options=Object.assign({theme:"bubble",readOnly:!1,placeholder:this.placeholder,modules:{toolbar:this.$isEEUiApp||this.windowTouch?!1:this.toolbar,keyboard:{bindings:{"short enter":{key:13,shortKey:!0,handler:e=>{if(!this.isEnterSend){if(this.separateSendButton){const t=this.quill.getSelection(!0).index;return this.quill.insertText(t,`\r `),!1}return this.onSend(),!1}return!0}},enter:{key:13,shiftKey:!1,handler:e=>{if(this.isEnterSend){if(this.separateSendButton){const t=this.quill.getSelection(!0).index;return this.quill.insertText(t,`\r `),!1}return this.onSend(),!1}return!0}},esc:{key:27,shiftKey:!1,handler:e=>this.emojiQuickShow?(this.emojiQuickShow=!1,!1):!0}}},mention:this.quillMention()}},this.options),this.quill=new Ht(this.$refs.editor,this._options),this.quill.enable(!this.disabled),this.value?this.setContent(this.value):this.loadInputDraft(),this.quill.on("selection-change",e=>{if(!e&&document.activeElement&&["ql-editor","ql-clipboard"].includes(document.activeElement.className)){this.selectTimer&&clearTimeout(this.selectTimer),this.selectTimer=setTimeout(t=>{this.quill.setSelection(document.activeElement.className==="ql-editor"?0:this.quill.getLength())},100);return}this.isFocus=!!e}),this.quill.on("text-change",e=>{this.changeLoad++,this.textTimer&&clearTimeout(this.textTimer),this.textTimer=setTimeout(t=>{this.changeLoad--,this.maxlength>0&&this.quill.getLength()>this.maxlength&&this.quill.deleteText(this.maxlength,this.quill.getLength());let n=this.$refs.editor.firstChild.innerHTML;this.updateEmojiQuick(n),this._content=n,this.$emit("input",this._content),this.$nextTick(r=>{const a=this.quill.getSelection();if(a){const l=this.quill.getText(a.index);/^\n\n$/.test(l)&&this.quill.deleteText(a.index,1)}})},100)}),this.quill.clipboard.addMatcher(Node.ELEMENT_NODE,(e,t)=>(this.pasteClean&&(t.ops=t.ops.map(n=>{const r={insert:n.insert};try{typeof r.insert.mention=="object"&&e.innerHTML&&(r.insert=e.innerHTML.replace(/<[^>]+>/g,""))}catch{}return n.attributes&&["bold","strike","italic","underline","list","blockquote","link"].some(a=>{n.attributes[a]&&(typeof r.attributes=="undefined"&&(r.attributes={}),r.attributes[a]=n.attributes[a])}),r})),t)),this.$nextTick(e=>{this.quill.root.addEventListener("keydown",t=>{if(t.key==="\r\r"&&t.keyCode===229){const n=this.quill.getSelection(!0).index;this.quill.insertText(n,`\r `)}}),this.separateSendButton||this.quill.root.setAttribute("enterkeyhint","send")}),this.$emit("on-ready",this.quill),this.disabledRecord||$A.loadScriptS(["js/recorder/recorder.mp3.min.js","js/recorder/lib.fft.js","js/recorder/frequency.histogram.view.js"]).then(e=>{typeof window.Recorder=="function"&&(this.recordRec=window.Recorder({type:"mp3",bitRate:64,sampleRate:32e3,audioTrackSet:null,disableEnvInFix:!1,onProcess:(t,n,r,a,l,u)=>{var c;(c=this.recordWave)==null||c.input(t[t.length-1],n,a),this.recordDuration=r,r>=3*60*1e3&&this.stopRecord(!1)}}),window.Recorder.Support()&&(this.recordReady=!0))})},quillMention(){return{allowedChars:/^\S*$/,mentionDenotationChars:["@","#","~"],defaultMenuOrientation:this.defaultMenuOrientation,isolateCharacter:!0,positioningStrategy:"fixed",renderItem:e=>{if(e.disabled===!0)return`
${e.value}
`;if(e.id===0)return`
@
${e.value}
${e.tip}
`;if(e.avatar){const t=e.bot?'
':"";return`
${t}
${e.value}
`}return e.tip?`
${e.value}
${e.tip}
`:`
${e.value}
`},renderLoading:()=>"Loading...",source:(e,t,n)=>{const r=n=="@"?"user-mention":n=="#"?"task-mention":"file-mention",a=document.getElementsByClassName("ql-mention-list-container");for(let u=0;u{const c=[];u.some(d=>{let g=d.list;e&&(g=g.filter(({value:f})=>$A.strExists(f,e))),g.length>0&&(d.label&&c.push(...d.label),c.push(...g))}),$A.jsonStringify(c.map(({id:d})=>d))!==l&&(l=$A.jsonStringify(c.map(({id:d})=>d)),t(c,e))})}}},updateEmojiQuick(e){if(!this.isFocus||!e){this.emojiQuickShow=!1;return}this.emojiTimer&&clearTimeout(this.emojiTimer),this.emojiTimer=setTimeout(t=>{if(/]+>/g,""),e&&e.indexOf(" ")===-1&&e.length>=1&&e.length<=8&&$A.isArray(window.emoticonData)){this.emojiQuickItems=[];const n=$A.apiUrl("../images/emoticon");if(window.emoticonData.some(r=>{let a=0;if(r.list.some(l=>{const u=[l.name];if(l.key&&u.push(...`${l.key}`.split(" ")),u.includes(e)&&(this.emojiQuickItems.push(Object.assign(l,{type:"emoticon",asset:`images/emoticon/${r.path}/${l.path}`,name:l.name,src:`${n}/${r.path}/${l.path}`})),++a>=2))return!0}),this.emojiQuickItems.length>=20)return!0}),this.emojiQuickItems.length>0){this.$refs.emojiWrapper.$el.style.maxWidth=`${Math.min(500,this.$refs.inputWrapper.clientWidth)}px`,this.$nextTick(r=>{this.emojiQuickShow=!0,this.$refs.emojiQuickRef.updatePopper()});return}}this.emojiQuickShow=!1},100)},getText(){return this.quill?`${this.quill.getText()}`.replace(/^\s+|\s+$/g,""):""},setText(e){this.quill&&this.quill.setText(e)},setContent(e){this.quill&&this.quill.setContents(this.quill.clipboard.convert(e))},setPasteMode(e){this.pasteClean=e},loadInputDraft(){const{extra_draft_content:e}=this.dialogData;e?(this.pasteClean=!1,this.$emit("input",e),this.$nextTick(t=>this.pasteClean=!0)):this.$emit("input","")},onClickEditor(){this.clearSearchKey(),this.updateEmojiQuick(this.value)},clearSearchKey(){this.$parent.$options.name==="DialogWrapper"&&(this.$store.state.messengerSearchKey.dialog!=""||this.$store.state.messengerSearchKey.contacts!="")&&setTimeout(e=>{this.$parent.onActive()},10),this.$store.state.messengerSearchKey={dialog:"",contacts:""}},focus(){this.$nextTick(()=>{this.quill&&(this.quill.setSelection(this.quill.getLength()),this.quill.focus())})},blur(){this.$nextTick(()=>{this.quill&&this.quill.blur()})},clickSend(e,t){if(!this.loading)switch(e){case"down":if(this.touchLimitX=!1,this.touchLimitY=!1,this.touchStart=t.type==="touchstart"?t.touches[0]:t,(t.button===void 0||t.button===0)&&this.startRecord())return;t.button===2&&this.onShowMenu();break;case"move":const n=t.type==="touchmove"?t.touches[0]:t;this.touchLimitX=(this.touchStart.clientX-n.clientX)/window.innerWidth>.1,this.touchLimitY=(this.touchStart.clientY-n.clientY)/window.innerHeight>.1;break;case"up":if(this.showMenu||this.stopRecord(this.touchLimitY)||this.touchLimitY||this.touchLimitX)return;this.onSend();break}},onShowMenu(){this.sendClass==="recorder"||!this.sendMenu||(this.showMenu=!0)},onSend(e){setTimeout(t=>{this.filterInvalidLine(this.value)!==""&&(this.hidePopover("send"),this.rangeIndex=0,this.clearSearchKey(),e?this.$emit("on-send",null,e):this.$emit("on-send"))},this.changeLoad>0?100:0)},startRecord(){return this.sendClass==="recorder"?(this.$store.dispatch("audioStop",!0),this.recordDuration=0,this.recordState="ready",this.$nextTick(e=>{this.recordRec.open(t=>{this.recordState==="ready"?(this.recordState="ing",this.recordBlob=null,setTimeout(n=>{this.recordRec.start()},300)):this.recordRec.close()},t=>{this.recordState="stop",$A.messageError(t||"\u6253\u5F00\u5F55\u97F3\u5931\u8D25")})}),!0):!1},stopRecord(e){switch(this.recordState){case"ing":return this.recordState="stop",this.recordRec.stop((t,n)=>{this.recordRec.close(),e!==!0&&(n<600?$A.messageWarning("\u8BF4\u8BDD\u65F6\u95F4\u592A\u77ED"):(this.recordBlob=t,this.uploadRecord(n)))},t=>{this.recordRec.close(),$A.messageError(t||"\u5F55\u97F3\u5931\u8D25")}),!0;case"ready":return this.recordState="stop",!0;default:return this.recordState="stop",!1}},hidePopover(e){this.showMenu=!1,this.showMore=!1,e!=="send"&&(this.showEmoji=!1,this.emojiQuickShow=!1)},onClickCover(){this.hidePopover(),this.$nextTick(e=>{var t;(t=this.quill)==null||t.focus()})},uploadRecord(e){if(this.recordBlob===null)return;const t=new FileReader;t.onloadend=()=>{this.$emit("on-record",{type:this.recordBlob.type,base64:t.result,duration:e})},t.readAsDataURL(this.recordBlob)},onEmojiQuick(e){e.type==="online"?(this.$emit("input",""),this.$emit("on-send",``)):(this.$emit("input",""),this.$emit("on-send",``)),this.emojiQuickShow=!1,this.focus()},onSelectEmoji(e){!this.quill||(e.type==="emoji"?(this.quill.insertText(this.rangeIndex,e.text),this.rangeIndex+=e.text.length,this.windowLandscape&&(this.showEmoji=!1)):e.type==="emoticon"&&(this.$emit("on-send",``),e.asset==="emosearch"&&this.$emit("input",""),this.windowLandscape&&(this.showEmoji=!1)))},onToolbar(e){switch(this.hidePopover(),e){case"user":this.openMenu("@");break;case"task":this.openMenu("#");break;case"meeting":_i.Store.set("addMeeting",{type:"create",dialog_id:this.dialogId,userids:[this.userId]});break;case"full":this.onFullInput();break;case"image":case"file":case"call":case"anon":this.$emit("on-more",e);break}},onFullInput(){this.disabled||(this.fullInput=!this.fullInput,this.fullInput&&this.$nextTick(e=>{this.fullQuill=new Ht(this.$refs.editorFull,Object.assign({theme:"bubble",readOnly:!1,placeholder:this.placeholder,modules:{toolbar:this.toolbar,mention:this.quillMention()}},this.options)),this.fullQuill.enable(!0),this.$refs.editorFull.firstChild.innerHTML=this.$refs.editor.firstChild.innerHTML,this.$nextTick(t=>{this.fullQuill.setSelection(this.fullQuill.getLength()),this.fullQuill.focus()})}))},onFullBeforeClose(){return new Promise(e=>{var t;(t=this.$refs.editorFull)!=null&&t.firstChild&&(this.$refs.editor.firstChild.innerHTML=this.$refs.editorFull.firstChild.innerHTML),e()})},onMoreVisibleChange(e){this.showMore=e},setQuote(e,t="reply"){this.dialogId>0&&this.$store.dispatch("saveDialog",{id:this.dialogId,extra_quote_id:e,extra_quote_type:t==="update"?"update":"reply"})},cancelQuote(){this.quoteUpdate&&this.$emit("input",""),this.setQuote(0)},openMenu(e){if(!!this.quill)if(this.value.length===0||this.value.endsWith("


"))this.quill.getModule("mention").openMenu(e);else{let t=this.value.replace(/<[^>]+>/g,"");t.length===0||t.endsWith(" ")?this.quill.getModule("mention").openMenu(e):this.quill.getModule("mention").openMenu(` ${e}`)}},addMention(e){!this.quill||this.quill.getModule("mention").insertItem(e,!0)},getProjectId(){let e=null;if(this.dialogId>0){if(e=this.cacheProjects.find(({dialog_id:t})=>t==this.dialogId),e)return e.id;if(e=this.cacheTasks.find(({dialog_id:t})=>t==this.dialogId),e)return e.project_id}else if(this.taskId>0&&(e=this.cacheTasks.find(({id:t})=>t==this.taskId),e))return e.project_id;return 0},getMentionSource(e,t,n){switch(e){case"@":this.mentionMode="user-mention";const r=c=>{this.getMoreUser(t,c.map(d=>d.id)).then(d=>{let g=this.cacheDialogs.filter((f,h)=>f.type=="user"&&f.bot==0&&f.last_at);g.sort((f,h)=>f.last_at>h.last_at?-1:f.last_ath<5),d.forEach(f=>{f.last_at="1990-01-01 00:00:00",g.forEach(h=>{var p;((p=h.dialog_user)==null?void 0:p.userid)==f.id&&(f.last_at=h.last_at)})}),d.sort((f,h)=>f.last_at>h.last_at?-1:f.last_at0?(c.length>2&&this.userCache.push({label:null,list:[{id:0,value:this.$L("\u6240\u6709\u4EBA"),tip:this.$L("\u4EC5\u63D0\u793A\u4F1A\u8BDD\u5185\u6210\u5458")}]}),this.userCache.push({label:[{id:0,value:this.$L("\u4F1A\u8BDD\u5185\u6210\u5458"),disabled:!0}],list:c},{label:[{id:0,value:this.$L("\u4F1A\u8BDD\u4EE5\u5916\u6210\u5458"),disabled:!0}],list:d})):c.length>2?this.userCache.push({label:null,list:[{id:0,value:this.$L("\u6240\u6709\u4EBA"),tip:this.$L("\u63D0\u793A\u6240\u6709\u6210\u5458")}]},{label:[{id:0,value:this.$L("\u4F1A\u8BDD\u5185\u6210\u5458"),disabled:!0}],list:c}):this.userCache.push({label:null,list:c}),n(this.userCache)})};if(this.dialogData.people&&$A.arrayLength(this.userList)!==this.dialogData.people&&(this.userList=null,this.userCache=null),this.userCache!==null&&n(this.userCache),this.userList!==null){r(this.userList);return}const a=[];if(this.dialogId>0)this.$store.dispatch("call",{url:"dialog/user",data:{dialog_id:this.dialogId,getuser:1}}).then(({data:c})=>{this.cacheDialogs.find(({id:d})=>d==this.dialogId)&&this.$store.dispatch("saveDialog",{id:this.dialogId,people:c.length}),c.length>0&&a.push(...c.map(d=>({id:d.userid,value:d.nickname,avatar:d.userimg,online:d.online,bot:d.bot}))),r(a)}).catch(c=>{r(a)});else if(this.taskId>0){const c=this.cacheTasks.find(({id:d})=>d==this.taskId);c&&$A.isArray(c.task_user)&&c.task_user.some(d=>{const g=this.cacheUserBasic.find(({userid:f})=>f==d.userid);g&&a.push({id:g.userid,value:g.nickname,avatar:g.userimg,online:g.online,bot:g.bot})}),r(a)}break;case"#":if(this.mentionMode="task-mention",this.taskList!==null){n(this.taskList);return}const l=c=>{this.taskList=[],c.length>0&&(c=c.map(f=>({id:f.id,value:f.name,tip:f.complete_at?this.$L("\u5DF2\u5B8C\u6210"):null})).splice(0,100),this.taskList.push({label:[{id:0,value:this.$L("\u9879\u76EE\u4EFB\u52A1"),disabled:!0}],list:c}));let d=this.$store.getters.transforTasks(this.$store.getters.dashboardTask.all);d.length>0&&(d=d.sort((f,h)=>$A.Date(f.end_at||"2099-12-31 23:59:59")-$A.Date(h.end_at||"2099-12-31 23:59:59")).splice(0,100),this.taskList.push({label:[{id:0,value:this.$L("\u6211\u7684\u5F85\u5B8C\u6210\u4EFB\u52A1"),disabled:!0}],list:d.map(f=>({id:f.id,value:f.name}))}));let g=this.$store.getters.assistTask;g.length>0&&(g=g.sort((f,h)=>$A.Date(f.end_at||"2099-12-31 23:59:59")-$A.Date(h.end_at||"2099-12-31 23:59:59")).splice(0,100),this.taskList.push({label:[{id:0,value:this.$L("\u6211\u534F\u52A9\u7684\u4EFB\u52A1"),disabled:!0}],list:g.map(f=>({id:f.id,value:f.name}))})),n(this.taskList)},u=this.getProjectId();if(u>0){this.$store.dispatch("getTaskForProject",u).then(c=>{const d=this.cacheTasks.filter(g=>g.archived_at?!1:g.project_id==u&&g.parent_id===0&&!g.archived_at).sort((g,f)=>$A.Date(f.complete_at||"2099-12-31 23:59:59")-$A.Date(g.complete_at||"2099-12-31 23:59:59"));d.length>0?l(d):l([])}).catch(c=>{l([])});return}l([]);break;case"~":if(this.mentionMode="file-mention",$A.isArray(this.fileList[t])){n(this.fileList[t]);return}this.fileTimer&&clearTimeout(this.fileTimer),this.fileTimer=setTimeout(c=>{this.$store.dispatch("searchFiles",t).then(({data:d})=>{this.fileList[t]=[{label:[{id:0,value:this.$L("\u6587\u4EF6\u5206\u4EAB\u67E5\u770B"),disabled:!0}],list:d.filter(g=>g.type!=="folder").map(g=>({id:g.id,value:g.ext?`${g.name}.${g.ext}`:g.name}))}],n(this.fileList[t])}).catch(()=>{n([])})},300);break;default:n([]);break}},getMoreUser(e,t){return new Promise(n=>{const{owner_id:r,type:a}=this.dialogData,l=a==="group"&&[0,this.userId].includes(r);this.taskId>0||l?(this.moreTimer&&clearTimeout(this.moreTimer),this.moreTimer=setTimeout(u=>{this.$store.dispatch("call",{url:"users/search",data:{keys:{key:e},state:1,take:30}}).then(({data:c})=>{const d=c.filter(g=>!t.includes(g.userid));n(d.map(g=>({id:g.userid,value:g.nickname,avatar:g.userimg,online:!!g.online})))}).catch(c=>{n([])})},this.userCache===null?0:600)):n([])})},checkIOSVersion(){let t=(window&&window.navigator&&window.navigator.userAgent).match(/OS ((\d+_?){2,3})\s/i);const r=(t?t[1].replace(/_/g,"."):"unknown").split(".");return+r[0]==11&&+r[1]>=0&&+r[1]<3},handlePaste(e){const t=Array.prototype.slice.call(e.clipboardData.files);t.filter(r=>!$A.leftExists(r.type,"image/")).length>0?(e.preventDefault(),this.$emit("on-file",t)):this.pasteRtf(e)&&e.preventDefault()},pasteRtf(e){if(e&&e.clipboardData&&e.clipboardData.items&&!new DOMParser().parseFromString(e.clipboardData.getData("text/html")||"","text/html").querySelector("img")){const n=[];let r=null;if(e.clipboardData.types&&[].indexOf.call(e.clipboardData.types,"text/rtf")!=-1||e.clipboardData.getData("text/rtf"))r=e.clipboardData.items[0].getAsFile(),r&&n.push(r);else for(let a=0;a0)return n.forEach(a=>{const l=new FileReader;l.onload=({target:u})=>{const c=this.quill.getSelection(!0).index;this.quill.insertEmbed(c,"image",u.result),this.quill.setSelection(c+1)},l.readAsDataURL(a)}),!0}return!1},filterInvalidLine(e){return(e+"").replace(/^(

\s*<\/p>)+|(

\s*<\/p>)+$/gi,"").replace(/^(

<\/p>)+|(

<\/p>)+$/gi,"")}}},Tl={};var TJ=Kt(vJ,SJ,bJ,!1,yJ,null,null,null);function yJ(e){for(let t in Tl)this[t]=Tl[t]}var CJ=function(){return TJ.exports}(),Dc={exports:{}};/*! * vue-virtual-scroll-list v2.3.5-7 * open source under the MIT license * https://github.com/tangbc/vue-virtual-scroll-list#readme */(function(e,t){(function(n,r){e.exports=r($u)})(Ya,function(n){n=n&&Object.prototype.hasOwnProperty.call(n,"default")?n.default:n;function r(w,M){if(!(w instanceof M))throw new TypeError("Cannot call a class as a function")}function a(w,M){for(var x=0;xw.length)&&(M=w.length);for(var x=0,Y=new Array(M);xthis.range.start)){var Y=Math.max(x-this.param.buffer,0);this.checkRange(Y,this.getEndByStart(Y))}}},{key:"handleBehind",value:function(){var x=this.getScrollOvers();xx&&(re=J-1)}return Y>0?--Y:0}},{key:"getIndexOffset",value:function(x){if(!x)return 0;for(var Y=0,J=0,K=0;K1&&arguments[1]!==void 0?arguments[1]:0;if(M>=this.dataSources.length-1)this.scrollToBottom();else{var Y=this.virtual.getOffset(M);x!==0&&(Y=Math.max(0,Y+x)),this.scrollToOffset(Y)}},scrollToBottom:function(){var M=this,x=this.$refs.shepherd;if(x){var Y=x[this.isHorizontal?"offsetLeft":"offsetTop"];this.scrollToOffset(Y),this.toBottomTime&&(clearTimeout(this.toBottomTime),this.toBottomTime=null),this.toBottomTime=setTimeout(function(){M.getOffset()+M.getClientSize()+1J+1||!J||(this.virtual.handleScroll(x),this.activeEvent(M.target),this.emitEvent(x,Y,J,M))}},activeEvent:function(M){var x=this;if(!(!this.activePrefix||!M)){var Y=M.getBoundingClientRect(),J=M.querySelectorAll('div[role="listitem"]');J.forEach(function(K,re){var Z=K.getBoundingClientRect();if(Z.topY.top&&Z.leftY.left?K.classList.remove(x.activePrefix+"-leave"):K.classList.add(x.activePrefix+"-leave"),x.isHorizontal){var j=Math.min(100,Z.width/2),U=Z.left+j,Q=Z.right-j;QY.right?K.classList.remove(x.activePrefix+"-enter"):K.classList.add(x.activePrefix+"-enter")}else{var X=Math.min(100,Z.height/2),le=Z.top+X,ae=Z.bottom-X;aeY.bottom?K.classList.remove(x.activePrefix+"-enter"):K.classList.add(x.activePrefix+"-enter")}})}},emitEvent:function(M,x,Y,J){this.$emit("scroll",J,this.virtual.getRange()),this.virtual.isFront()&&!!this.dataSources.length&&M-this.topThreshold<=0?this.$emit("totop"):this.virtual.isBehind()&&M+x+this.bottomThreshold>=Y&&this.$emit("tobottom")},getRenderSlots:function(M){for(var x=[],Y=this.range,J=Y.start,K=Y.end,re=this.dataSources,Z=this.dataKey,j=this.itemClass,U=this.itemTag,Q=this.itemStyle,X=this.isHorizontal,le=this.extraProps,ae=this.dataComponent,W=this.itemScopedSlots,ie=this.$scopedSlots&&this.$scopedSlots.item,de=J;de<=K;de++){var ce=re[de];if(ce){var Ee=typeof Z=="function"?Z(ce):ce[Z];typeof Ee=="string"||typeof Ee=="number"?x.push(M(F,{props:{index:de,tag:U,event:z.ITEM,horizontal:X,uniqueKey:Ee,source:ce,extraProps:le,component:ae,slotComponent:ie,scopedSlots:W},style:Q,class:[j,this.itemClassAdd?this.itemClassAdd(de):null]})):console.warn("Cannot get the data-key '".concat(Z,"' from data-sources."))}else console.warn("Cannot get the index '".concat(de,"' from data-sources."))}return x}},render:function(M){var x=this.$slots,Y=x.header,J=x.footer,K=this.range,re=K.padFront,Z=K.padBehind,j=this.isHorizontal,U=this.pageMode,Q=this.rootTag,X=this.wrapTag,le=this.wrapClass,ae=this.wrapStyle,W=this.headerTag,ie=this.headerClass,de=this.headerStyle,ce=this.footerTag,Ee=this.footerClass,Ie=this.footerStyle,Le=this.disabled,Ue={padding:j?"0px ".concat(Z,"px 0px ").concat(re,"px"):"".concat(re,"px 0px ").concat(Z,"px")},Ye=ae?Object.assign({},ae,Ue):Ue;return M(Q,{ref:"root",style:Le?{overflow:"hidden"}:null,on:{"&scroll":!U&&this.onScroll}},[Y?M(G,{class:ie,style:de,props:{tag:W,event:z.SLOT,uniqueKey:k.HEADER}},Y):null,M(X,{class:le,attrs:{role:"group"},style:Ye},this.getRenderSlots(M)),J?M(G,{class:Ee,style:Ie,props:{tag:ce,event:z.SLOT,uniqueKey:k.FOOTER}},J):null,M("div",{ref:"shepherd",style:{width:j?"0px":"100%",height:j?"100%":"0px"}})])}});return L})})(Dc);var RJ=Dc.exports;function OJ(){return new Promise(e=>{const t=new Hu({render(a){return a(Vu.exports.Modal,{class:"chat-emoji-one-modal",props:{fullscreen:!0,footerHide:!0},on:{"on-visible-change":l=>{l||setTimeout(u=>{document.body.removeChild(this.$el)},500)}}},[a(Ic,{attrs:{onlyEmoji:!0},on:{"on-select":l=>{this.$children[0].visible=!1,l.type==="emoji"&&e(l.text)}}})])}}),n=t.$mount();document.body.appendChild(n.$el);const r=t.$children[0];r.visible=!0,r.$el.lastChild.addEventListener("click",({target:a})=>{a.classList.contains("ivu-modal-body")&&(r.visible=!1)})})}var NJ=function(){var e=this,t=e.$createElement,n=e._self._c||t;return e.isReady?n("div",{staticClass:"dialog-wrapper",class:e.wrapperClass,on:{drop:function(r){return r.preventDefault(),e.chatPasteDrag(r,"drag")},dragover:function(r){return r.preventDefault(),e.chatDragOver(!0,r)},dragleave:function(r){return r.preventDefault(),e.chatDragOver(!1,r)},touchstart:e.onTouchStart,touchmove:e.onTouchMove}},[n("div",{staticClass:"dialog-nav",style:e.navStyle},[e._t("head",function(){return[n("div",{staticClass:"nav-wrapper",class:{completed:e.$A.dialogCompleted(e.dialogData)}},[n("div",{staticClass:"dialog-back",on:{click:e.onBack}},[n("i",{staticClass:"taskfont"},[e._v("\uE676")]),e.msgUnreadOnly?n("div",{staticClass:"back-num"},[e._v(e._s(e.msgUnreadOnly))]):e._e()]),n("div",{staticClass:"dialog-block"},[n("div",{staticClass:"dialog-avatar",on:{click:e.onViewAvatar}},[e.dialogData.type=="group"?[e.dialogData.avatar?n("EAvatar",{staticClass:"img-avatar",attrs:{src:e.dialogData.avatar,size:42}}):e.dialogData.group_type=="department"?n("i",{staticClass:"taskfont icon-avatar department"},[e._v("\uE75C")]):e.dialogData.group_type=="project"?n("i",{staticClass:"taskfont icon-avatar project"},[e._v("\uE6F9")]):e.dialogData.group_type=="task"?n("i",{staticClass:"taskfont icon-avatar task"},[e._v("\uE6F4")]):e.dialogData.group_type=="okr"?n("i",{staticClass:"taskfont icon-avatar task"},[e._v("\uE6F4")]):n("Icon",{staticClass:"icon-avatar",attrs:{type:"ios-people"}})]:e.dialogData.dialog_user?n("div",{staticClass:"user-avatar"},[n("UserAvatarTip",{attrs:{online:e.dialogData.online_state,userid:e.dialogData.dialog_user.userid,size:42},on:{"update:online":function(r){return e.$set(e.dialogData,"online_state",r)}}},[e.dialogData.type==="user"&&e.dialogData.online_state!==!0?n("p",{attrs:{slot:"end"},slot:"end"},[e._v(" "+e._s(e.$L(e.dialogData.online_state))+" ")]):e._e()])],1):n("Icon",{staticClass:"icon-avatar",attrs:{type:"md-person"}})],2),n("div",{staticClass:"dialog-title"},[n("div",{staticClass:"main-title"},[e._l(e.$A.dialogTags(e.dialogData),function(r){return r.color!="success"?[n("Tag",{attrs:{color:r.color,fade:!1}},[e._v(e._s(e.$L(r.text)))])]:e._e()}),n("h2",[e._v(e._s(e.dialogData.name))]),e.peopleNum>0?n("em",{on:{click:function(r){return e.onDialogMenu("groupInfo")}}},[e._v("("+e._s(e.peopleNum)+")")]):e._e(),e.dialogData.bot?n("Tag",{staticClass:"after",attrs:{fade:!1}},[e._v(e._s(e.$L("\u673A\u5668\u4EBA")))]):e._e(),e.dialogData.type==="user"&&e.approvaUserStatus?n("Tag",{staticClass:"after",attrs:{color:"red",fade:!1}},[e._v(e._s(e.$L(e.approvaUserStatus)))]):e._e(),e.dialogData.group_type=="all"?n("Tag",{staticClass:"after pointer",attrs:{fade:!1},on:{"on-click":function(r){return e.onDialogMenu("groupInfo")}}},[e._v(e._s(e.$L("\u5168\u5458")))]):e.dialogData.group_type=="department"?n("Tag",{staticClass:"after pointer",attrs:{fade:!1},on:{"on-click":function(r){return e.onDialogMenu("groupInfo")}}},[e._v(e._s(e.$L("\u90E8\u95E8")))]):e._e(),e.msgLoadIng>0?n("div",{staticClass:"load"},[n("Loading")],1):e._e()],2),n("ul",{staticClass:"title-desc"},[e.dialogData.type==="user"?n("li",{class:[e.dialogData.online_state===!0?"online":"offline"]},[e._v(" "+e._s(e.$L(e.dialogData.online_state===!0?"\u5728\u7EBF":e.dialogData.online_state))+" ")]):e._e()]),e.tagShow?n("ul",{staticClass:"title-tags scrollbar-hidden"},e._l(e.msgTags,function(r){var a;return n("li",{key:r.type,class:(a={},a[r.type||"msg"]=!0,a.active=e.msgType===r.type,a),on:{click:function(l){return e.onMsgType(r.type)}}},[n("i",{staticClass:"no-dark-content"}),n("span",[e._v(e._s(e.$L(r.label)))])])}),0):e._e()])]),n("EDropdown",{staticClass:"dialog-menu",attrs:{trigger:"click"},on:{command:e.onDialogMenu}},[n("i",{staticClass:"taskfont dialog-menu-icon"},[e._v("\uE6E9")]),n("EDropdownMenu",{attrs:{slot:"dropdown"},slot:"dropdown"},[n("EDropdownItem",{attrs:{command:"searchMsg"}},[n("div",[e._v(e._s(e.$L("\u641C\u7D22\u6D88\u606F")))])]),e.dialogData.type==="user"?[e.isManageBot?n("EDropdownItem",{attrs:{command:"modifyNormal"}},[n("div",[e._v(e._s(e.$L("\u4FEE\u6539\u8D44\u6599")))])]):e._e(),n("EDropdownItem",{attrs:{command:"openCreate"}},[n("div",[e._v(e._s(e.$L("\u521B\u5EFA\u7FA4\u7EC4")))])])]:[n("EDropdownItem",{attrs:{command:"groupInfo"}},[n("div",[e._v(e._s(e.$L("\u7FA4\u7EC4\u8BBE\u7F6E")))])]),e.dialogData.owner_id!=e.userId?[e.dialogData.group_type==="all"&&e.userIsAdmin?n("EDropdownItem",{attrs:{command:"modifyAdmin"}},[n("div",[e._v(e._s(e.$L("\u4FEE\u6539\u8D44\u6599")))])]):e._e(),n("EDropdownItem",{attrs:{command:"exit"}},[n("div",{staticStyle:{color:"#f00"}},[e._v(e._s(e.$L("\u9000\u51FA\u7FA4\u7EC4")))])])]:e.dialogData.group_type==="user"?[n("EDropdownItem",{attrs:{command:"modifyNormal"}},[n("div",[e._v(e._s(e.$L("\u4FEE\u6539\u8D44\u6599")))])]),n("EDropdownItem",{attrs:{command:"transfer"}},[n("div",[e._v(e._s(e.$L("\u8F6C\u8BA9\u7FA4\u4E3B")))])]),n("EDropdownItem",{attrs:{command:"disband"}},[n("div",{staticStyle:{color:"#f00"}},[e._v(e._s(e.$L("\u89E3\u6563\u7FA4\u7EC4")))])])]:e._e()]],2)],1),e.searchShow?n("div",{staticClass:"dialog-search"},[n("div",{staticClass:"search-location"},[n("i",{staticClass:"taskfont",on:{click:function(r){return e.onSearchSwitch("prev")}}},[e._v("\uE702")]),n("i",{staticClass:"taskfont",on:{click:function(r){return e.onSearchSwitch("next")}}},[e._v("\uE705")])]),n("div",{staticClass:"search-input"},[n("Input",{ref:"searchInput",attrs:{placeholder:e.$L("\u641C\u7D22\u6D88\u606F"),clearable:""},on:{"on-keyup":e.onSearchKeyup},model:{value:e.searchKey,callback:function(r){e.searchKey=r},expression:"searchKey"}},[n("div",{staticClass:"search-pre",attrs:{slot:"prefix"},slot:"prefix"},[e.searchLoad>0?n("Loading"):n("Icon",{attrs:{type:"ios-search"}})],1)]),e.searchLoad===0&&e.searchResult.length>0?n("div",{staticClass:"search-total",attrs:{slot:"append"},slot:"append"},[e._v(e._s(e.searchLocation)+"/"+e._s(e.searchResult.length))]):e._e()],1),n("div",{staticClass:"search-cancel",on:{click:function(r){return e.onSearchKeyup(null)}}},[e._v(e._s(e.$L("\u53D6\u6D88")))])]):e._e()],1)]})],2),e.positionMsg?n("div",{staticClass:"dialog-position",class:{down:e.tagShow}},[n("div",{staticClass:"position-label",on:{click:e.onPositionMark}},[e.positionLoad>0?n("Icon",{staticClass:"icon-loading",attrs:{type:"ios-loading"}}):n("i",{staticClass:"taskfont"},[e._v("\uE624")]),e._v(" "+e._s(e.positionMsg.label)+" ")],1)]):e._e(),n("VirtualList",{ref:"scroller",staticClass:"dialog-scroller scrollbar-virtual",class:e.scrollerClass,attrs:{"active-prefix":"item","data-key":"id","data-sources":e.allMsgs,"data-component":e.msgItem,"item-class-add":e.itemClassAdd,"extra-props":{dialogData:e.dialogData,operateVisible:e.operateVisible,operateItem:e.operateItem,isMyDialog:e.isMyDialog,msgId:e.msgId,unreadMsgId:e.unreadMsgId,scrollIng:e.scrollIng,msgReady:e.msgReady},"estimate-size":e.dialogData.type=="group"?105:77,keeps:25,disabled:e.scrollDisabled},on:{activity:e.onActivity,scroll:e.onScroll,range:e.onRange,totop:e.onPrevPage,"on-mention":e.onMention,"on-longpress":e.onLongpress,"on-view-reply":e.onViewReply,"on-view-text":e.onViewText,"on-view-file":e.onViewFile,"on-down-file":e.onDownFile,"on-reply-list":e.onReplyList,"on-error":e.onError,"on-emoji":e.onEmoji,"on-show-emoji-user":e.onShowEmojiUser},scopedSlots:e._u([{key:"header",fn:function(){return[e.allMsgs.length===0&&e.loadIng||e.prevId>0?n("div",{staticClass:"dialog-item loading"},[e.scrollOffset<100?n("div",{staticClass:"dialog-wrapper-loading"}):e._e()]):e.allMsgs.length===0?n("div",{staticClass:"dialog-item nothing"},[e._v(e._s(e.$L("\u6682\u65E0\u6D88\u606F")))]):e._e()]},proxy:!0}],null,!1,3828201241)}),n("div",{ref:"footer",staticClass:"dialog-footer",class:e.footerClass,style:e.footerStyle,on:{click:e.onActive}},[n("div",{staticClass:"dialog-newmsg",on:{click:e.onToBottom}},[e._v(e._s(e.$L(`\u6709${e.msgNew}\u6761\u65B0\u6D88\u606F`)))]),n("div",{staticClass:"dialog-goto",on:{click:e.onToBottom}},[n("i",{staticClass:"taskfont"},[e._v("\uE72B")])]),n("DialogUpload",{ref:"chatUpload",staticClass:"chat-upload",attrs:{"dialog-id":e.dialogId,maxSize:e.maxSize},on:{"on-progress":function(r){return e.chatFile("progress",r)},"on-success":function(r){return e.chatFile("success",r)},"on-error":function(r){return e.chatFile("error",r)}}}),e.todoShow?n("div",{staticClass:"chat-bottom-menu"},[n("div",{staticClass:"bottom-menu-label"},[e._v(e._s(e.$L("\u5F85\u529E"))+":")]),n("ul",{staticClass:"scrollbar-hidden"},e._l(e.todoList,function(r){return n("li",{on:{click:function(a){return a.stopPropagation(),e.onViewTodo(r)}}},[n("div",{staticClass:"bottom-menu-desc no-dark-content"},[e._v(e._s(e.$A.getMsgSimpleDesc(r.msg_data)))])])}),0)]):e.quickShow?n("div",{staticClass:"chat-bottom-menu"},[n("ul",{staticClass:"scrollbar-hidden"},e._l(e.quickMsgs,function(r){return n("li",{on:{click:function(a){return a.stopPropagation(),e.sendQuick(r)}}},[n("div",{staticClass:"bottom-menu-desc no-dark-content",style:r.style||null},[e._v(e._s(r.label))])])}),0)]):e._e(),e.isMute?n("div",{staticClass:"chat-mute"},[e._v(" "+e._s(e.$L("\u7981\u8A00\u53D1\u8A00"))+" ")]):n("ChatInput",{ref:"input",attrs:{"dialog-id":e.dialogId,"emoji-bottom":e.windowPortrait,maxlength:2e5,placeholder:e.$L("\u8F93\u5165\u6D88\u606F...")},on:{"on-focus":e.onEventFocus,"on-blur":e.onEventBlur,"on-more":e.onEventMore,"on-file":e.sendFileMsg,"on-send":e.sendMsg,"on-record":e.sendRecord,"on-record-state":e.onRecordState,"on-emoji-visible-change":e.onEventEmojiVisibleChange,"on-height-change":e.onHeightChange},model:{value:e.msgText,callback:function(r){e.msgText=r},expression:"msgText"}})],1),n("div",{directives:[{name:"show",rawName:"v-show",value:e.operateVisible,expression:"operateVisible"}],staticClass:"operate-position",style:e.operateStyles},[n("Dropdown",{attrs:{trigger:"custom",placement:"top",visible:e.operateVisible,transferClassName:"dialog-wrapper-operate",transfer:""},on:{"on-clickoutside":function(r){e.operateVisible=!1}}},[n("div",{style:{userSelect:e.operateVisible?"none":"auto",height:e.operateStyles.height}}),n("DropdownMenu",{attrs:{slot:"list"},slot:"list"},[n("DropdownItem",{attrs:{name:"action"}},[n("ul",{staticClass:"operate-action"},[e.msgId===0?n("li",{on:{click:function(r){return e.onOperate("reply")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE6EB")]),n("span",[e._v(e._s(e.$L("\u56DE\u590D")))])]):e._e(),e.operateItem.userid==e.userId&&e.operateItem.type==="text"?n("li",{on:{click:function(r){return e.onOperate("update")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE779")]),n("span",[e._v(e._s(e.$L("\u7F16\u8F91")))])]):e._e(),e._l(e.operateCopys,function(r){return n("li",{on:{click:function(a){return e.onOperate("copy",r)}}},[n("i",{staticClass:"taskfont",domProps:{innerHTML:e._s(r.icon)}}),n("span",[e._v(e._s(e.$L(r.label)))])])}),n("li",{on:{click:function(r){return e.onOperate("forward")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE638")]),n("span",[e._v(e._s(e.$L("\u8F6C\u53D1")))])]),e.operateItem.userid==e.userId?n("li",{on:{click:function(r){return e.onOperate("withdraw")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE637")]),n("span",[e._v(e._s(e.$L("\u64A4\u56DE")))])]):e._e(),e.operateItem.type==="file"?[n("li",{on:{click:function(r){return e.onOperate("view")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE77B")]),n("span",[e._v(e._s(e.$L("\u67E5\u770B")))])]),n("li",{on:{click:function(r){return e.onOperate("down")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE7A8")]),n("span",[e._v(e._s(e.$L("\u4E0B\u8F7D")))])])]:e._e(),n("li",{on:{click:function(r){return e.onOperate("tag")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE61E")]),n("span",[e._v(e._s(e.$L(e.operateItem.tag?"\u53D6\u6D88\u6807\u6CE8":"\u6807\u6CE8")))])]),e.operateItem.type==="text"?n("li",{on:{click:function(r){return e.onOperate("newTask")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE7B8")]),n("span",[e._v(e._s(e.$L("\u65B0\u4EFB\u52A1")))])]):e._e(),n("li",{on:{click:function(r){return e.onOperate("todo")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE7B7")]),n("span",[e._v(e._s(e.$L(e.operateItem.todo?"\u53D6\u6D88\u5F85\u529E":"\u8BBE\u5F85\u529E")))])]),e.msgType!==""?n("li",{on:{click:function(r){return e.onOperate("pos")}}},[n("i",{staticClass:"taskfont"},[e._v("\uEE15")]),n("span",[e._v(e._s(e.$L("\u5B8C\u6574\u5BF9\u8BDD")))])]):e._e()],2)]),n("DropdownItem",{staticClass:"dropdown-emoji",attrs:{name:"emoji"}},[n("ul",{staticClass:"operate-emoji scrollbar-hidden"},[e._l(e.operateEmojis,function(r,a){return n("li",{key:a,staticClass:"no-dark-content",domProps:{innerHTML:e._s(r)},on:{click:function(l){return e.onOperate("emoji",r)}}})}),n("li"),n("li",{staticClass:"more-emoji",on:{click:function(r){return e.onOperate("emoji","more")}}},[n("i",{staticClass:"taskfont"},[e._v("\uE790")])])],2)])],1)],1)],1),e.dialogDrag?n("div",{staticClass:"drag-over",on:{click:function(r){e.dialogDrag=!1}}},[n("div",{staticClass:"drag-text"},[e._v(e._s(e.$L("\u62D6\u52A8\u5230\u8FD9\u91CC\u53D1\u9001")))])]):e._e(),n("Modal",{attrs:{title:e.$L(e.pasteTitle),"cancel-text":e.$L("\u53D6\u6D88"),"ok-text":e.$L("\u53D1\u9001"),"enter-ok":!0,closable:!1,"mask-closable":!1},on:{"on-ok":e.pasteSend},model:{value:e.pasteShow,callback:function(r){e.pasteShow=r},expression:"pasteShow"}},[n("ul",{staticClass:"dialog-wrapper-paste",class:e.pasteWrapperClass},e._l(e.pasteItem,function(r){return n("li",[r.type=="image"?n("img",{attrs:{src:r.result}}):n("div",[e._v(e._s(e.$L("\u6587\u4EF6"))+": "+e._s(r.name)+" ("+e._s(e.$A.bytesToSize(r.size))+")")])])}),0)]),n("Modal",{attrs:{title:e.$L("\u521B\u5EFA\u7FA4\u7EC4"),"mask-closable":!1},model:{value:e.createGroupShow,callback:function(r){e.createGroupShow=r},expression:"createGroupShow"}},[n("Form",{attrs:{model:e.createGroupData,"label-width":"auto"},nativeOn:{submit:function(r){r.preventDefault()}}},[n("FormItem",{attrs:{prop:"avatar",label:e.$L("\u7FA4\u5934\u50CF")}},[n("ImgUpload",{attrs:{num:1,width:512,height:512,whcut:1},model:{value:e.createGroupData.avatar,callback:function(r){e.$set(e.createGroupData,"avatar",r)},expression:"createGroupData.avatar"}})],1),n("FormItem",{attrs:{prop:"userids",label:e.$L("\u7FA4\u6210\u5458")}},[n("UserSelect",{attrs:{uncancelable:e.createGroupData.uncancelable,"multiple-max":100,"show-bot":"",title:e.$L("\u9009\u62E9\u9879\u76EE\u6210\u5458")},model:{value:e.createGroupData.userids,callback:function(r){e.$set(e.createGroupData,"userids",r)},expression:"createGroupData.userids"}})],1),n("FormItem",{attrs:{prop:"chat_name",label:e.$L("\u7FA4\u540D\u79F0")}},[n("Input",{attrs:{placeholder:e.$L("\u8F93\u5165\u7FA4\u540D\u79F0\uFF08\u9009\u586B\uFF09")},model:{value:e.createGroupData.chat_name,callback:function(r){e.$set(e.createGroupData,"chat_name",r)},expression:"createGroupData.chat_name"}})],1)],1),n("div",{staticClass:"adaption",attrs:{slot:"footer"},slot:"footer"},[n("Button",{attrs:{type:"default"},on:{click:function(r){e.createGroupShow=!1}}},[e._v(e._s(e.$L("\u53D6\u6D88")))]),n("Button",{attrs:{type:"primary",loading:e.createGroupLoad>0},on:{click:e.onCreateGroup}},[e._v(e._s(e.$L("\u521B\u5EFA")))])],1)],1),n("Modal",{attrs:{title:e.$L("\u4FEE\u6539\u8D44\u6599"),"mask-closable":!1},model:{value:e.modifyShow,callback:function(r){e.modifyShow=r},expression:"modifyShow"}},[n("Form",{attrs:{model:e.modifyData,"label-width":"auto"},nativeOn:{submit:function(r){r.preventDefault()}}},[e.modifyData.system_name?n("Alert",{staticStyle:{"margin-bottom":"18px"},attrs:{type:"error"}},[e._v(e._s(e.$L(`\u6B63\u5728\u4FEE\u6539\u7CFB\u7EDF\u673A\u5668\u4EBA\uFF1A${e.modifyData.system_name}`)))]):e._e(),n("FormItem",{attrs:{prop:"avatar",label:e.$L("\u5934\u50CF")}},[n("ImgUpload",{attrs:{num:1,width:512,height:512,whcut:1},model:{value:e.modifyData.avatar,callback:function(r){e.$set(e.modifyData,"avatar",r)},expression:"modifyData.avatar"}})],1),typeof e.modifyData.name!="undefined"?n("FormItem",{attrs:{prop:"name",label:e.$L("\u540D\u79F0")}},[n("Input",{attrs:{maxlength:20},model:{value:e.modifyData.name,callback:function(r){e.$set(e.modifyData,"name",r)},expression:"modifyData.name"}})],1):e._e(),e.dialogData.bot==e.userId?[typeof e.modifyData.clear_day!="undefined"?n("FormItem",{attrs:{prop:"clear_day",label:e.$L("\u6D88\u606F\u4FDD\u7559")}},[n("Input",{attrs:{maxlength:3,type:"number"},model:{value:e.modifyData.clear_day,callback:function(r){e.$set(e.modifyData,"clear_day",r)},expression:"modifyData.clear_day"}},[n("div",{attrs:{slot:"append"},slot:"append"},[e._v(e._s(e.$L("\u5929")))])])],1):e._e(),typeof e.modifyData.webhook_url!="undefined"?n("FormItem",{attrs:{prop:"webhook_url",label:"Webhook"}},[n("Input",{attrs:{maxlength:255},model:{value:e.modifyData.webhook_url,callback:function(r){e.$set(e.modifyData,"webhook_url",r)},expression:"modifyData.webhook_url"}})],1):e._e()]:e._e()],2),n("div",{staticClass:"adaption",attrs:{slot:"footer"},slot:"footer"},[n("Button",{attrs:{type:"default"},on:{click:function(r){e.modifyShow=!1}}},[e._v(e._s(e.$L("\u53D6\u6D88")))]),n("Button",{attrs:{type:"primary",loading:e.modifyLoad>0},on:{click:e.onModify}},[e._v(e._s(e.$L("\u4FDD\u5B58")))])],1)],1),n("UserSelect",{ref:"forwardSelect",attrs:{"multiple-max":50,title:e.$L("\u8F6C\u53D1"),"before-submit":e.onForward,"show-select-all":!1,"show-dialog":"",module:""},model:{value:e.forwardData,callback:function(r){e.forwardData=r},expression:"forwardData"}}),n("Modal",{attrs:{title:e.$L("\u8BBE\u7F6E\u5F85\u529E"),"mask-closable":!1},model:{value:e.todoSettingShow,callback:function(r){e.todoSettingShow=r},expression:"todoSettingShow"}},[n("Form",{ref:"todoSettingForm",attrs:{model:e.todoSettingData,"label-width":"auto"},nativeOn:{submit:function(r){r.preventDefault()}}},[n("FormItem",{attrs:{prop:"type",label:e.$L("\u5F53\u524D\u4F1A\u8BDD")}},[n("RadioGroup",{on:{"on-change":e.onTypeChange},model:{value:e.todoSettingData.type,callback:function(r){e.$set(e.todoSettingData,"type",r)},expression:"todoSettingData.type"}},[n("Radio",{attrs:{label:"all"}},[e._v(e._s(e.$L("\u6240\u6709\u6210\u5458")))]),n("Radio",{attrs:{label:"user"}},[e._v(e._s(e.$L("\u6307\u5B9A\u6210\u5458")))]),n("Radio",{directives:[{name:"show",rawName:"v-show",value:!1,expression:"false"}],attrs:{label:"quick_select"}})],1),n("CheckboxGroup",{on:{"on-change":e.onQuickChange},model:{value:e.todoSettingData.quick_value,callback:function(r){e.$set(e.todoSettingData,"quick_value",r)},expression:"todoSettingData.quick_value"}},e._l(e.todoSettingData.quick_list,function(r){return n("Checkbox",{key:r,attrs:{label:r}},[n("div",{staticClass:"dialog-wrapper-todo"},[n("div",[n("UserAvatar",{attrs:{userid:r,"show-icon":!1,"show-name":!0}}),r==e.userId?n("Tag",[e._v(e._s(e.$L("\u81EA\u5DF1")))]):e._e()],1)])])}),1)],1),e.todoSettingData.type==="user"?n("FormItem",{attrs:{prop:"userids",label:e.$L("\u6307\u5B9A\u6210\u5458")}},[n("UserSelect",{ref:"userSelect",attrs:{"dialog-id":e.dialogId,title:e.$L("\u9009\u62E9\u6307\u5B9A\u6210\u5458")},model:{value:e.todoSettingData.userids,callback:function(r){e.$set(e.todoSettingData,"userids",r)},expression:"todoSettingData.userids"}})],1):e._e()],1),n("div",{staticClass:"adaption",attrs:{slot:"footer"},slot:"footer"},[n("Button",{attrs:{type:"default"},on:{click:function(r){e.todoSettingShow=!1}}},[e._v(e._s(e.$L("\u53D6\u6D88")))]),n("Button",{attrs:{type:"primary",loading:e.todoSettingLoad>0},on:{click:function(r){return e.onTodo("submit")}}},[e._v(e._s(e.$L("\u786E\u5B9A")))])],1)],1),n("DrawerOverlay",{attrs:{placement:"right",size:400},model:{value:e.groupInfoShow,callback:function(r){e.groupInfoShow=r},expression:"groupInfoShow"}},[e.groupInfoShow?n("DialogGroupInfo",{attrs:{dialogId:e.dialogId},on:{"on-close":function(r){e.groupInfoShow=!1}}}):e._e()],1),n("Modal",{attrs:{title:e.$L("\u8F6C\u8BA9\u7FA4\u4E3B\u8EAB\u4EFD"),"mask-closable":!1},model:{value:e.groupTransferShow,callback:function(r){e.groupTransferShow=r},expression:"groupTransferShow"}},[n("Form",{attrs:{model:e.groupTransferData,"label-width":"auto"},nativeOn:{submit:function(r){r.preventDefault()}}},[n("FormItem",{attrs:{prop:"userid",label:e.$L("\u65B0\u7684\u7FA4\u4E3B")}},[n("UserSelect",{attrs:{disabledChoice:e.groupTransferData.disabledChoice,"multiple-max":1,title:e.$L("\u9009\u62E9\u65B0\u7684\u7FA4\u4E3B")},model:{value:e.groupTransferData.userid,callback:function(r){e.$set(e.groupTransferData,"userid",r)},expression:"groupTransferData.userid"}})],1)],1),n("div",{staticClass:"adaption",attrs:{slot:"footer"},slot:"footer"},[n("Button",{attrs:{type:"default"},on:{click:function(r){e.groupTransferShow=!1}}},[e._v(e._s(e.$L("\u53D6\u6D88")))]),n("Button",{attrs:{type:"primary",loading:e.groupTransferLoad>0},on:{click:function(r){return e.onDialogMenu("transferConfirm")}}},[e._v(e._s(e.$L("\u786E\u5B9A\u8F6C\u8BA9")))])],1)],1),n("DrawerOverlay",{attrs:{placement:"right","class-name":"dialog-wrapper-drawer-list",size:500},model:{value:e.replyListShow,callback:function(r){e.replyListShow=r},expression:"replyListShow"}},[e.replyListShow?n("DialogWrapper",{staticClass:"drawer-list",attrs:{dialogId:e.dialogId,msgId:e.replyListId}},[n("div",{staticClass:"drawer-title",attrs:{slot:"head"},slot:"head"},[e._v(e._s(e.$L("\u56DE\u590D\u6D88\u606F")))])]):e._e()],1),n("DrawerOverlay",{attrs:{placement:"right",size:400},model:{value:e.respondShow,callback:function(r){e.respondShow=r},expression:"respondShow"}},[e.respondShow?n("DialogRespond",{attrs:{"respond-data":e.respondData},on:{"on-close":function(r){e.respondShow=!1}}}):e._e()],1),n("DrawerOverlay",{attrs:{placement:"right","class-name":"dialog-wrapper-drawer-list",size:500},model:{value:e.todoViewShow,callback:function(r){e.todoViewShow=r},expression:"todoViewShow"}},[n("div",{staticClass:"dialog-wrapper drawer-list"},[n("div",{staticClass:"dialog-nav"},[n("div",{staticClass:"drawer-title"},[e._v(e._s(e.$L("\u5F85\u529E\u6D88\u606F")))])]),n("Scrollbar",{attrs:{"class-name":"dialog-scroller"}},[e.todoViewMsg?n("DialogItem",{attrs:{source:e.todoViewMsg,simpleView:""},on:{"on-view-text":e.onViewText,"on-view-file":e.onViewFile,"on-down-file":e.onDownFile,"on-emoji":e.onEmoji}}):e._e(),n("Button",{staticClass:"original-button",attrs:{icon:"md-exit",type:"text",loading:e.todoViewPosLoad},on:{click:e.onPosTodo}},[e._v(e._s(e.$L("\u56DE\u5230\u539F\u6587")))])],1),n("div",{staticClass:"todo-button"},[n("Button",{attrs:{type:"primary",size:"large",icon:"md-checkbox-outline",loading:e.todoViewLoad,long:""},on:{click:e.onDoneTodo}},[e._v(e._s(e.$L("\u5B8C\u6210")))])],1)],1)]),n("DrawerOverlay",{attrs:{placement:"right",size:600},model:{value:e.approveDetailsShow,callback:function(r){e.approveDetailsShow=r},expression:"approveDetailsShow"}},[e.approveDetailsShow?n("ApproveDetails",{staticStyle:{height:"100%","border-radius":"10px"},attrs:{data:e.approveDetails}}):e._e()],1)],1):e._e()},AJ=[];const IJ={name:"DialogWrapper",components:{UserAvatarTip:ju,UserSelect:Rl,ImgUpload:Ku,DialogRespond:jZ,DialogItem:pl,VirtualList:RJ,ChatInput:CJ,DialogGroupInfo:$Z,DrawerOverlay:Wu,DialogUpload:FZ,ApproveDetails:Qu},props:{dialogId:{type:Number,default:0},msgId:{type:Number,default:0},autoFocus:{type:Boolean,default:!1},isMessenger:{type:Boolean,default:!1},beforeBack:Function},data(){return{msgItem:pl,msgText:"",msgNew:0,msgType:"",loadIng:0,allMsgs:[],tempMsgs:[],tempId:$A.randNum(1e9,9999999999),msgLoadIng:0,msgActiveIndex:-1,pasteShow:!1,pasteFile:[],pasteItem:[],searchShow:!1,searchKey:"",searchLoad:0,searchLocation:1,searchResult:[],createGroupShow:!1,createGroupData:{},createGroupLoad:0,modifyShow:!1,modifyData:{},modifyLoad:0,forwardData:[],openId:0,dialogDrag:!1,groupInfoShow:!1,groupTransferShow:!1,groupTransferLoad:0,groupTransferData:{userid:[],disabledChoice:[]},navStyle:{},operateClient:{x:0,y:0},operateVisible:!1,operatePreventScroll:0,operateCopys:[],operateStyles:{},operateItem:{},recordState:"",wrapperStart:null,scrollOffset:0,scrollTail:0,preventMoreLoad:!1,preventToBottom:!1,replyListShow:!1,replyListId:0,respondShow:!1,respondData:{},todoSettingShow:!1,todoSettingLoad:0,todoSettingData:{type:"all",userids:[],quick_value:[]},todoViewLoad:!1,todoViewPosLoad:!1,todoViewShow:!1,todoViewData:{},todoViewMid:0,todoViewId:0,scrollDisabled:!1,scrollDirection:null,scrollAction:0,scrollTmp:0,scrollIng:0,approveDetails:{id:0},approveDetailsShow:!1,approvaUserStatus:"",positionLoad:0,msgReady:!1,unreadMsgId:0,toBottomReGetMsg:!1}},mounted(){this.msgSubscribe=_i.Store.subscribe("dialogMsgChange",this.onMsgChange)},beforeDestroy(){this.$store.dispatch("forgetInDialog",this._uid),this.$store.dispatch("closeDialog",this.dialogId),this.msgSubscribe&&(this.msgSubscribe.unsubscribe(),this.msgSubscribe=null)},computed:{...jn(["systemConfig","userIsAdmin","taskId","dialogSearchMsgId","dialogMsgs","dialogTodos","dialogMsgTransfer","cacheDialogs","wsOpenNum","touchBackInProgress","dialogIns","cacheUserBasic","fileLinks","cacheEmojis","keyboardType","keyboardHeight","safeAreaBottom"]),...Cl(["isLoad"]),isReady(){return this.dialogId>0&&this.dialogData.id>0},dialogData(){return this.cacheDialogs.find(({id:e})=>e==this.dialogId)||{}},dialogList(){return this.cacheDialogs.filter(e=>!(e.name===void 0||e.dialog_delete===1)).sort((e,t)=>e.top_at||t.top_at?$A.Date(t.top_at)-$A.Date(e.top_at):e.todo_num>0||t.todo_num>0?t.todo_num-e.todo_num:$A.Date(t.last_at)-$A.Date(e.last_at))},dialogMsgList(){return this.isReady?this.dialogMsgs.filter(e=>e.dialog_id==this.dialogId):[]},tempMsgList(){return this.isReady?this.tempMsgs.filter(e=>e.dialog_id==this.dialogId):[]},allMsgList(){const e=[];if(e.push(...this.dialogMsgList.filter(t=>this.msgFilter(t))),this.msgId>0){const t=this.dialogMsgs.find(n=>n.id==this.msgId);t&&e.unshift(t)}if(this.tempMsgList.length>0){const t=e.map(({id:r})=>r),n=this.tempMsgList.filter(r=>!t.includes(r.id)&&this.msgFilter(r));n.length>0&&e.push(...n)}return e.sort((t,n)=>t.id-n.id)},loadMsg(){return this.isLoad(`msg::${this.dialogId}-${this.msgId}-${this.msgType}`)},prevId(){return this.allMsgs.length>0?$A.runNum(this.allMsgs[0].prev_id):0},peopleNum(){return this.dialogData.type==="group"?$A.runNum(this.dialogData.people):0},pasteTitle(){const{pasteItem:e}=this;let t=e.find(({type:r})=>r=="image"),n=e.find(({type:r})=>r!="image");return t&&n?"\u53D1\u9001\u6587\u4EF6/\u56FE\u7247":t?"\u53D1\u9001\u56FE\u7247":"\u53D1\u9001\u6587\u4EF6"},msgTags(){const e=[{type:"",label:"\u6D88\u606F"}];return this.dialogData.has_tag&&e.push({type:"tag",label:"\u6807\u6CE8"}),this.dialogData.has_todo&&e.push({type:"todo",label:"\u4E8B\u9879"}),this.dialogData.has_image&&e.push({type:"image",label:"\u56FE\u7247"}),this.dialogData.has_file&&e.push({type:"file",label:"\u6587\u4EF6"}),this.dialogData.has_link&&e.push({type:"link",label:"\u94FE\u63A5"}),this.dialogData.group_type==="project"&&e.push({type:"project",label:"\u6253\u5F00\u9879\u76EE"}),this.dialogData.group_type==="task"&&e.push({type:"task",label:"\u6253\u5F00\u4EFB\u52A1"}),this.dialogData.group_type==="okr"&&e.push({type:"okr",label:"\u6253\u5F00OKR"}),e},quickMsgs(){return this.dialogData.quick_msgs||[]},quickShow(){return this.quickMsgs.length>0&&this.windowScrollY===0&&this.quoteId===0},todoList(){return this.dialogData.todo_num?this.dialogTodos.filter(e=>!e.done_at&&e.dialog_id==this.dialogId).sort((e,t)=>t.id-e.id):[]},todoShow(){return this.todoList.length>0&&this.windowScrollY===0&&this.quoteId===0},wrapperClass(){return["ready","ing"].includes(this.recordState)?["record-ready"]:null},tagShow(){return this.msgTags.length>1&&this.windowScrollY===0&&!this.searchShow},scrollerClass(){return!this.$slots.head&&this.tagShow?"default-header":null},pasteWrapperClass(){return this.pasteItem.find(({type:e})=>e!=="image")?["multiple"]:[]},footerClass(){return this.msgNew>0&&this.allMsgs.length>0?"newmsg":this.scrollTail>500?"goto":null},footerPaddingBottom({keyboardType:e,keyboardHeight:t,safeAreaBottom:n,windowScrollY:r,isMessenger:a}){return r===0&&a&&e==="show"&&t>0&&t<120?t+n:0},footerStyle({footerPaddingBottom:e}){const t={};return e&&(t.paddingBottom=`${e}px`),t},msgUnreadOnly(){let e=0;return this.cacheDialogs.some(t=>{e+=$A.getDialogNum(t)}),e<=0?"":(e>999&&(e="999+"),String(e))},isMyDialog(){const{dialogData:e,userId:t}=this;return e.dialog_user&&e.dialog_user.userid==t},isManageBot(){const{dialogData:e,userId:t,userIsAdmin:n}=this;return e.bot?e.bot==t?!0:e.dialog_user&&e.dialog_user.userid==e.bot&&n:!1},isMute(){if(this.dialogData.group_type==="all"){if(this.dialogData.all_group_mute==="all")return!0;if(this.dialogData.all_group_mute==="user"&&!this.userIsAdmin)return!0}return!1},quoteId(){return this.msgId>0?this.msgId:this.dialogData.extra_quote_id||0},quoteUpdate(){return this.dialogData.extra_quote_type==="update"},quoteData(){return this.quoteId?this.allMsgs.find(({id:e})=>e===this.quoteId):null},todoViewMsg(){if(this.todoViewMid){const e=this.allMsgs.find(t=>t.id==this.todoViewMid);if(e)return e;if(this.todoViewData.id===this.todoViewMid)return this.todoViewData}return null},positionMsg(){const{mention:e,unread:t,position_msgs:n}=this.dialogData;if(!n||n.length===0||t===0||this.allMsgs.length===0)return null;const r=$A.cloneJSON(n.find(a=>e===0?a.label==="{UNREAD}":!0));return r.label==="{UNREAD}"&&(r.label=this.$L(`\u672A\u8BFB\u6D88\u606F${t}\u6761`)),r},operateEmojis(){const e=this.cacheEmojis.slice(0,3);return Object.values(["\u{1F44C}","\u{1F44D}","\u{1F602}","\u{1F389}","\u2764\uFE0F","\u{1F973}\uFE0F","\u{1F970}","\u{1F625}","\u{1F62D}"]).some(t=>{e.includes(t)||e.push(t)}),e},maxSize(){var e;return(e=this.systemConfig)!=null&&e.file_upload_limit?this.systemConfig.file_upload_limit*1024:1024e3}},watch:{dialogId:{handler(e,t){e&&(this.msgNew=0,this.msgType="",this.searchShow=!1,this.unreadMsgId=0,this.toBottomReGetMsg=!1,this.allMsgList.length>0&&(this.allMsgs=this.allMsgList,requestAnimationFrame(this.onToBottom)),this.getMsgs({dialog_id:e,msg_id:this.msgId,msg_type:this.msgType}).then(n=>{this.openId=e,setTimeout(this.onSearchMsgId,100)}).catch(n=>{}),this.$store.dispatch("saveInDialog",{uid:this._uid,dialog_id:e}),this.autoFocus&&this.inputFocus()),this.$store.dispatch("closeDialog",t),this.getUserApproveStatus()},immediate:!0},loadMsg:{handler(e){e?this.loadIng++:setTimeout(t=>{this.loadIng--},300)},immediate:!0},msgType(){this.getMsgs({dialog_id:this.dialogId,msg_id:this.msgId,msg_type:this.msgType,clear_before:!0}).catch(e=>{})},searchKey(e){!e||(this.searchLoad++,setTimeout(t=>{this.searchKey===e&&(this.searchLoad++,this.searchResult=[],this.searchLocation=0,this.$store.dispatch("call",{url:"dialog/msg/search",data:{dialog_id:this.dialogId,key:e}}).then(({data:n})=>{this.searchKey===e&&(this.searchResult=n.data,this.searchLocation=this.searchResult.length)}).finally(n=>{this.searchLoad--})),this.searchLoad--},600))},searchLocation(e){if(e===0)return;const t=this.searchResult[e-1];t&&this.onPositionId(t)},dialogSearchMsgId(){this.onSearchMsgId()},dialogMsgTransfer:{handler({time:e,msgFile:t,msgRecord:n,msgText:r,dialogId:a}){e>$A.Time()&&a==this.dialogId&&(this.$store.state.dialogMsgTransfer.time=0,this.$nextTick(()=>{$A.isArray(t)&&t.length>0?this.sendFileMsg(t):$A.isJson(n)&&n.duration>0?this.sendRecord(n):r&&this.sendMsg(r)}))},immediate:!0},wsOpenNum(e){if(e<=1)return;const t=this.allMsgs[this.allMsgs.length-1];if($A(this.$refs.scroller.$el).find(`[data-id="${t.id}"]`).length===0){this.toBottomReGetMsg=!0;return}this.onReGetMsg()},allMsgList(e,t){const{tail:n}=this.scrollInfo();if($A.isIos()&&e.length!==t.length?(this.$refs.scroller.$el.style.visibility="hidden",this.allMsgs=e,this.$nextTick(r=>{this.$refs.scroller.$el.style.visibility="visible"})):this.allMsgs=e,!this.windowActive||n>55&&t.length>0){const r=t[t.length-1]?t[t.length-1].id:0,a=e.filter(l=>l.id&&l.id>r);this.msgNew+=a.length}else this.preventToBottom||this.$nextTick(this.onToBottom)},windowScrollY(e){if($A.isIos()&&!this.$slots.head){const{tail:t}=this.scrollInfo();this.navStyle={marginTop:e+"px"},t<=55&&requestAnimationFrame(this.onToBottom),this.$refs.input.isFocus&&$A.scrollToView(this.$refs.footer)}},windowActive(e){if(e&&this.autoFocus){const t=$A.last(this.dialogIns);t&&t.uid===this._uid&&this.inputFocus()}},windowHeight(e,t){if(e-1&&setTimeout(t=>this.msgActiveIndex=-1,800)},footerPaddingBottom(e){if(e){const{tail:t}=this.scrollInfo();t<=55&&requestAnimationFrame(this.onToBottom)}},positionMsg(){const{unread:e,position_msgs:t}=this.dialogData;if(!$A.isArray(t)||e<2)return;const n=t.find(r=>r.label==="{UNREAD}");n&&(this.unreadMsgId=n.msg_id)}},methods:{sendMsg(e,t){let n,r="text",a="no",l=!1;if(typeof e=="string"&&e?n=e:(n=this.msgText,l=!0),t==="md"?(n=this.$refs.input.getText(),r="md"):t==="silence"&&(a="yes"),n==""){this.inputFocus();return}if(r==="text"&&(n=n.replace(/<\/span> <\/p>$/,"

")),this.quoteUpdate){r==="text"&&(n=n.replace(new RegExp(`src=(["'])${$A.apiUrl("../")}`,"g"),"src=$1{{RemoteURL}}"));const u=this.quoteId;this.$store.dispatch("setLoad",{key:`msg-${u}`,delay:600}),this.cancelQuote(),this.onActive(),this.$store.dispatch("call",{url:"dialog/msg/sendtext",data:{dialog_id:this.dialogId,update_id:u,text:n,text_type:r,silence:a},method:"post",complete:c=>this.$store.dispatch("cancelLoad",`msg-${u}`)}).then(({data:c})=>{this.sendSuccess(c),this.onPositionId(u)}).catch(({msg:c})=>{$A.modalError(c)})}else{const u=$A.stringLength(n.replace(/]*?>/g,""))>5e3,c={id:this.getTempId(),dialog_id:this.dialogData.id,reply_id:this.quoteId,reply_data:this.quoteData,type:u?"loading":"text",userid:this.userId,msg:{text:u?"":n,type:r}};this.tempMsgs.push(c),this.msgType="",this.cancelQuote(),this.onActive(),this.$nextTick(this.onToBottom),this.$store.dispatch("call",{url:"dialog/msg/sendtext",data:{dialog_id:c.dialog_id,reply_id:c.reply_id,text:n,text_type:r,silence:a},method:"post"}).then(({data:d})=>{this.tempMsgs=this.tempMsgs.filter(({id:g})=>g!=c.id),this.sendSuccess(d)}).catch(d=>{this.$set(c,"error",!0),this.$set(c,"errorData",{type:"text",mType:t,content:d.msg,msg:n})})}l&&requestAnimationFrame(u=>this.msgText="")},sendRecord(e){const t={id:this.getTempId(),dialog_id:this.dialogData.id,reply_id:this.quoteId,reply_data:this.quoteData,type:"loading",userid:this.userId,msg:e};this.tempMsgs.push(t),this.msgType="",this.cancelQuote(),this.onActive(),this.$nextTick(this.onToBottom),this.$store.dispatch("call",{url:"dialog/msg/sendrecord",data:Object.assign(e,{dialog_id:this.dialogId,reply_id:this.quoteId}),method:"post"}).then(({data:n})=>{this.tempMsgs=this.tempMsgs.filter(({id:r})=>r!=t.id),this.sendSuccess(n)}).catch(n=>{this.$set(t,"error",!0),this.$set(t,"errorData",{type:"record",mType:"record",content:n.msg,msg:e})})},sendFileMsg(e){const t=$A.isArray(e)?e:[e];t.length>0&&(this.pasteFile=[],this.pasteItem=[],t.some(n=>{const r={type:$A.getMiddle(n.type,null,"/"),name:n.name,size:n.size,result:null};if(r.type==="image"){const a=new FileReader;a.readAsDataURL(n),a.onload=({target:l})=>{r.result=l.result,this.pasteFile.push(n),this.pasteItem.push(r),this.pasteShow=!0}}else this.pasteFile.push(n),this.pasteItem.push(r),this.pasteShow=!0}))},sendQuick(e){this.sendMsg(`

${e.label}

`)},onMsgChange(e){const t=this.allMsgs.find(({type:n,id:r})=>n=="text"&&r==e.id);if(t){const{tail:n}=this.scrollInfo();e.type==="append"?t.msg.text+=e.text:e.type==="replace"&&(t.msg.text=e.text),this.$nextTick(r=>{const{tail:a}=this.scrollInfo();n<=10&&a!=n&&(this.operatePreventScroll++,this.$refs.scroller.scrollToBottom(),setTimeout(l=>{this.operatePreventScroll--},50))})}},getTempId(){return this.tempId++},getMsgs(e){return new Promise((t,n)=>{setTimeout(r=>this.msgLoadIng++,2e3),this.$store.dispatch("getDialogMsgs",e).then(t).catch(n).finally(r=>{this.msgLoadIng--})})},msgFilter(e){if(this.msgType){if(this.msgType==="tag"){if(!e.tag)return!1}else if(this.msgType==="todo"){if(!e.todo)return!1}else if(this.msgType==="link"){if(!e.link)return!1}else if(this.msgType!==e.mtype)return!1}return!(this.msgId&&e.reply_id!=this.msgId)},onSearchMsgId(){this.dialogSearchMsgId>0&&this.openId===this.dialogId&&(this.onPositionId(this.dialogSearchMsgId),this.$store.state.dialogSearchMsgId=0)},onPositionId(e,t=0,n=0){return new Promise((r,a)=>{if(e===0){$A.modalError("\u67E5\u770B\u5931\u8D25\uFF1A\u53C2\u6570\u9519\u8BEF"),a();return}if(this.loadMsg||this.msgType!==""){if(this.msgType="",n===0)this.$store.dispatch("showSpinner",600);else if(n>20){this.$store.dispatch("hiddenSpinner"),$A.modalError("\u67E5\u770B\u5931\u8D25\uFF1A\u8BF7\u6C42\u8D85\u65F6"),a();return}n++,setTimeout(c=>{this.onPositionId(e,t,n).then(r).catch(a)},Math.min(800,200*n));return}n>0&&this.$store.dispatch("hiddenSpinner");const l=this.allMsgs.findIndex(c=>c.id===e),u=this.prevId>0?0:-1;l>u?setTimeout(c=>{this.onToIndex(l),r()},200):(t>0&&this.$store.dispatch("setLoad",{key:`msg-${t}`,delay:600}),this.preventToBottom=!0,this.getMsgs({dialog_id:this.dialogId,msg_id:this.msgId,msg_type:this.msgType,position_id:e,spinner:2e3}).finally(c=>{const d=this.allMsgs.findIndex(g=>g.id===e);d>-1&&(this.onToIndex(d),r()),t>0&&this.$store.dispatch("cancelLoad",`msg-${t}`),this.preventToBottom=!1}))})},onViewTodo(e){if(this.operateVisible)return;this.todoViewId=e.id,this.todoViewMid=e.msg_id,this.todoViewShow=!0,this.allMsgs.findIndex(n=>n.id===this.todoViewMid)===-1&&this.$store.dispatch("call",{url:"dialog/msg/one",data:{msg_id:this.todoViewMid}}).then(({data:n})=>{this.todoViewData=n})},onCloseTodo(){this.todoViewLoad=!1,this.todoViewShow=!1,this.todoViewData={},this.todoViewMid=0,this.todoViewId=0},onPosTodo(){!this.todoViewMid||(this.todoViewPosLoad=!0,this.onPositionId(this.todoViewMid).then(this.onCloseTodo).finally(e=>{this.todoViewPosLoad=!1}))},onDoneTodo(){!this.todoViewId||this.todoViewLoad||(this.todoViewLoad=!0,this.$store.dispatch("call",{url:"dialog/msg/done",data:{id:this.todoViewId}}).then(({data:e})=>{this.$store.dispatch("saveDialogTodo",{id:this.todoViewId,done_at:$A.formatDate("Y-m-d H:i:s")}),this.$store.dispatch("saveDialog",{id:this.dialogId,todo_num:this.todoList.length}),e.add&&this.sendSuccess(e.add),this.todoList.length===0&&this.$store.dispatch("getDialogTodo",this.dialogId),this.onCloseTodo()}).catch(({msg:e})=>{$A.modalError(e)}).finally(e=>{this.todoViewLoad=!1}))},itemClassAdd(e){return e===this.msgActiveIndex?"common-shake":""},inputFocus(){this.$nextTick(e=>{this.$refs.input&&this.$refs.input.focus()})},onRecordState(e){this.recordState=e},chatPasteDrag(e,t){if(this.dialogDrag=!1,$A.dataHasFolder(t==="drag"?e.dataTransfer:e.clipboardData)){e.preventDefault(),$A.modalWarning(`\u6682\u4E0D\u652F\u6301${t==="drag"?"\u62D6\u62FD":"\u7C98\u8D34"}\u6587\u4EF6\u5939\u3002`);return}const n=t==="drag"?e.dataTransfer.files:e.clipboardData.files,r=Array.prototype.slice.call(n);r.length>0&&(e.preventDefault(),this.sendFileMsg(r))},chatDragOver(e,t){let n=this.__dialogDrag=$A.randomString(8);if(!e)setTimeout(()=>{n===this.__dialogDrag&&(this.dialogDrag=e)},150);else{if(t.dataTransfer.effectAllowed==="move"||Array.prototype.slice.call(t.dataTransfer.files).length===0)return;this.dialogDrag=!0}},onTouchStart(e){if(this.wrapperStart=null,this.$refs.scroller.$el.contains(e.target))this.wrapperStart=Object.assign(this.scrollInfo(),{clientY:e.touches[0].clientY});else if(this.$refs.input.$refs.editor.contains(e.target)){const t=this.$refs.input.$refs.editor.querySelector(".ql-editor");if(t){const n=t.clientHeight,r=t.scrollTop,a=t.scrollHeight;this.wrapperStart={offset:r,scale:r/(a-n),tail:a-n-r,clientY:e.touches[0].clientY}}}},onTouchMove(e){if(this.footerPaddingBottom>0||this.windowPortrait&&this.windowScrollY>0){if(this.wrapperStart===null){e.preventDefault();return}this.wrapperStart.clientY>e.touches[0].clientY?this.wrapperStart.tail===0&&e.preventDefault():this.wrapperStart.offset===0&&e.preventDefault()}},pasteSend(){this.__paste_send_index||(this.__paste_send_index=1,setTimeout(()=>{this.__paste_send_index=0},300),this.pasteFile.some(e=>{this.$refs.chatUpload.upload(e)}))},chatFile(e,t){switch(e){case"progress":const n={id:t.tempId,dialog_id:this.dialogData.id,reply_id:this.quoteId,type:"loading",userid:this.userId,msg:{}};this.tempMsgs.push(n),this.msgType="",this.cancelQuote(),this.onActive(),this.$nextTick(this.onToBottom);break;case"error":this.tempMsgs=this.tempMsgs.filter(({id:r})=>r!=t.tempId);break;case"success":this.tempMsgs=this.tempMsgs.filter(({id:r})=>r!=t.tempId),this.sendSuccess(t.data);break}},sendSuccess(e){if($A.isArray(e)){e.some(this.sendSuccess);return}this.$store.dispatch("saveDialogMsg",e),this.quoteUpdate||(this.$store.dispatch("increaseTaskMsgNum",e),this.$store.dispatch("increaseMsgReplyNum",e),this.$store.dispatch("updateDialogLastMsg",e)),this.cancelQuote(),this.onActive()},setQuote(e,t){var n;(n=this.$refs.input)==null||n.setQuote(e,t)},cancelQuote(){var e;(e=this.$refs.input)==null||e.cancelQuote()},onEventFocus(){this.$emit("on-focus")},onEventBlur(){this.$emit("on-blur")},onEventMore(e){switch(e){case"image":case"file":this.$refs.chatUpload.handleClick();break;case"call":this.onCallTel();break;case"anon":this.onAnon();break}},onCallTel(){this.$store.dispatch("call",{url:"dialog/tel",data:{dialog_id:this.dialogId},spinner:600}).then(({data:e})=>{e.tel&&$A.eeuiAppSendMessage({action:"callTel",tel:e.tel}),e.add&&(this.$store.dispatch("saveDialogMsg",e.add),this.$store.dispatch("updateDialogLastMsg",e.add),this.onActive())}).catch(({msg:e})=>{$A.modalError(e)})},onAnon(){if(this.dialogData.type!=="user"||this.dialogData.bot){$A.modalWarning("\u533F\u540D\u6D88\u606F\u4EC5\u5141\u8BB8\u53D1\u9001\u7ED9\u4E2A\u4EBA");return}$A.modalInput({title:"\u53D1\u9001\u533F\u540D\u6D88\u606F",placeholder:"\u533F\u540D\u6D88\u606F\u5C06\u901A\u8FC7\u533F\u540D\u6D88\u606F\uFF08\u673A\u5668\u4EBA\uFF09\u53D1\u9001\u7ED9\u5BF9\u65B9\uFF0C\u4E0D\u4F1A\u8BB0\u5F55\u4F60\u7684\u4EFB\u4F55\u8EAB\u4EFD\u4FE1\u606F",inputProps:{type:"textarea",rows:3,autosize:{minRows:3,maxRows:6},maxlength:2e3},okText:"\u533F\u540D\u53D1\u9001",onOk:e=>e?new Promise((t,n)=>{this.$store.dispatch("call",{url:"dialog/msg/sendanon",data:{userid:this.dialogData.dialog_user.userid,text:e},method:"post"}).then(({msg:r})=>{t(r)}).catch(({msg:r})=>{n(r)})}):"\u8BF7\u8F93\u5165\u6D88\u606F\u5185\u5BB9"})},onEventEmojiVisibleChange(e){e&&this.windowPortrait&&this.onToBottom()},onHeightChange({newVal:e,oldVal:t}){const n=e-t;if(n!==0){const{offset:r,tail:a}=this.scrollInfo();a>0&&this.onToOffset(r+n)}},onActive(){this.$emit("on-active")},onToBottom(){this.msgNew=0;const e=this.$refs.scroller;e&&(e.scrollToBottom(),requestAnimationFrame(t=>e.scrollToBottom()))},onToIndex(e){const t=this.$refs.scroller;t&&(t.stopToBottom(),t.scrollToIndex(e,-100),requestAnimationFrame(n=>t.scrollToIndex(e,-100))),requestAnimationFrame(n=>this.msgActiveIndex=e)},onToOffset(e){const t=this.$refs.scroller;t&&(t.stopToBottom(),t.scrollToOffset(e),setTimeout(n=>t.scrollToOffset(e),10))},scrollInfo(){const e=this.$refs.scroller;return e?e.scrollInfo():{offset:0,scale:0,tail:0}},openProject(){!this.dialogData.group_info||(this.windowPortrait&&this.$store.dispatch("openDialog",0),this.goForward({name:"manage-project",params:{projectId:this.dialogData.group_info.id}}))},openTask(){!this.dialogData.group_info||(this.taskId>0&&this.$store.dispatch("openDialog",0),this.$store.dispatch("openTask",{id:this.dialogData.group_info.id,deleted_at:this.dialogData.group_info.deleted_at,archived_at:this.dialogData.group_info.archived_at}))},openOkr(){!this.dialogData.link_id||this.$store.dispatch("openOkr",this.dialogData.link_id)},onReGetMsg(){this.toBottomReGetMsg=!1,this.getMsgs({dialog_id:this.dialogId,msg_id:this.msgId,msg_type:this.msgType}).catch(e=>{})},onPrevPage(){this.prevId!==0&&this.getMsgs({dialog_id:this.dialogId,msg_id:this.msgId,msg_type:this.msgType,prev_id:this.prevId,save_before:e=>this.scrollDisabled=!0,save_after:e=>this.scrollDisabled=!1}).then(({data:e})=>{const t=e.list.map(n=>n.id);this.$nextTick(()=>{const n=this.$refs.scroller,r=t.reduce((l,u)=>({size:(typeof l=="object"?l.size:n.getSize(l))+n.getSize(u)}));let a=n.getOffset()+r.size;this.prevId===0&&(a-=36),this.onToOffset(a),setTimeout(l=>n.virtual.handleFront(),10)})}).catch(()=>{})},onDialogMenu(e){var t;switch(e){case"searchMsg":this.searchShow=!0,this.$nextTick(r=>{this.$refs.searchInput.focus()});break;case"openCreate":const n=[this.userId];this.dialogData.dialog_user&&this.userId!=this.dialogData.dialog_user.userid&&n.push(this.dialogData.dialog_user.userid),this.createGroupData={userids:n,uncancelable:[this.userId]},this.createGroupShow=!0;break;case"modifyNormal":this.modifyData={dialog_id:this.dialogData.id,avatar:this.dialogData.avatar,name:this.dialogData.name},this.dialogData.type==="user"&&(this.modifyData=Object.assign(this.modifyData,{userid:this.dialogData.dialog_user.userid,avatar:(t=this.cacheUserBasic.find(r=>r.userid===this.dialogData.dialog_user.userid))==null?void 0:t.userimg,clear_day:0,webhook_url:"",system_name:""}),this.modifyLoad++,this.$store.dispatch("call",{url:"users/bot/info",data:{id:this.dialogData.dialog_user.userid}}).then(({data:r})=>{this.modifyData.clear_day=r.clear_day,this.modifyData.webhook_url=r.webhook_url,this.modifyData.system_name=r.system_name}).finally(()=>{this.modifyLoad--})),this.modifyShow=!0;break;case"modifyAdmin":this.modifyData={dialog_id:this.dialogData.id,avatar:this.dialogData.avatar,admin:1},this.modifyShow=!0;break;case"groupInfo":this.groupInfoShow=!0;break;case"transfer":this.groupTransferData={dialog_id:this.dialogId,userid:[],disabledChoice:[this.userId]},this.groupTransferShow=!0;break;case"transferConfirm":this.onTransferGroup();break;case"disband":this.onDisbandGroup();break;case"exit":this.onExitGroup();break}},onTransferGroup(){if(this.groupTransferData.userid.length===0){$A.messageError("\u8BF7\u9009\u62E9\u65B0\u7684\u7FA4\u4E3B");return}this.groupTransferLoad++,this.$store.dispatch("call",{url:"dialog/group/transfer",data:{dialog_id:this.dialogId,userid:this.groupTransferData.userid[0]}}).then(({data:e,msg:t})=>{$A.messageSuccess(t),this.$store.dispatch("saveDialog",e)}).catch(({msg:e})=>{$A.modalError(e)}).finally(e=>{this.groupTransferLoad--,this.groupTransferShow=!1})},onDisbandGroup(){$A.modalConfirm({content:`\u4F60\u786E\u5B9A\u8981\u89E3\u6563\u3010${this.dialogData.name}\u3011\u7FA4\u7EC4\u5417\uFF1F`,loading:!0,okText:"\u89E3\u6563",onOk:()=>new Promise((e,t)=>{this.$store.dispatch("call",{url:"dialog/group/disband",data:{dialog_id:this.dialogId}}).then(({msg:n})=>{e(n),this.$store.dispatch("forgetDialog",this.dialogId),this.goForward({name:"manage-messenger"})}).catch(({msg:n})=>{t(n)})})})},onExitGroup(){$A.modalConfirm({content:"\u4F60\u786E\u5B9A\u8981\u9000\u51FA\u7FA4\u7EC4\u5417\uFF1F",loading:!0,onOk:()=>new Promise((e,t)=>{this.$store.dispatch("call",{url:"dialog/group/deluser",data:{dialog_id:this.dialogId}}).then(({msg:n})=>{e(n),this.$store.dispatch("forgetDialog",this.dialogId),this.goForward({name:"manage-messenger"})}).catch(({msg:n})=>{t(n)})})})},onCreateGroup(){this.createGroupLoad++,this.$store.dispatch("call",{url:"dialog/group/add",data:this.createGroupData}).then(({data:e,msg:t})=>{$A.messageSuccess(t),this.createGroupShow=!1,this.createGroupData={},this.$store.dispatch("saveDialog",e),this.$store.dispatch("openDialog",e.id)}).catch(({msg:e})=>{$A.modalError(e)}).finally(e=>{this.createGroupLoad--})},onModify(){this.modifyData.userid?(this.modifyLoad++,this.$store.dispatch("call",{url:"users/bot/edit",data:{id:this.modifyData.userid,avatar:this.modifyData.avatar,name:this.modifyData.name,clear_day:this.modifyData.clear_day,webhook_url:this.modifyData.webhook_url},method:"post"}).then(({data:e,msg:t})=>{$A.messageSuccess(t),this.$store.dispatch("saveUserBasic",{userid:this.modifyData.userid,nickname:e.name,userimg:e.avatar}),this.$store.dispatch("saveDialog",{id:this.modifyData.dialog_id,name:e.name}),this.modifyShow=!1,this.modifyData={}}).catch(({msg:e})=>{$A.modalError(e)}).finally(e=>{this.modifyLoad--})):(this.modifyLoad++,this.$store.dispatch("call",{url:"dialog/group/edit",data:this.modifyData}).then(({data:e,msg:t})=>{$A.messageSuccess(t),this.$store.dispatch("saveDialog",e),this.modifyShow=!1,this.modifyData={}}).catch(({msg:e})=>{$A.modalError(e)}).finally(e=>{this.modifyLoad--}))},onForward(){return new Promise((e,t)=>{if(this.forwardData.length===0){$A.messageError("\u8BF7\u9009\u62E9\u8F6C\u53D1\u5BF9\u8BDD\u6216\u6210\u5458"),t();return}const n=this.forwardData.filter(a=>$A.leftExists(a,"d:")).map(a=>a.replace("d:","")),r=this.forwardData.filter(a=>!$A.leftExists(a,"d:"));this.$store.dispatch("call",{url:"dialog/msg/forward",data:{dialogids:n,userids:r,msg_id:this.operateItem.id}}).then(({data:a,msg:l})=>{this.$store.dispatch("saveDialogMsg",a.msgs),this.$store.dispatch("updateDialogLastMsg",a.msgs),$A.messageSuccess(l),e()}).catch(({msg:a})=>{$A.modalError(a),t()})})},onActivity(e){this.msgReady=!e},onScroll(e){this.operatePreventScroll===0&&(this.operateVisible=!1);const{offset:t,tail:n}=this.scrollInfo();this.scrollOffset=t,this.scrollTail=n,this.scrollTail<=55&&(this.msgNew=0,this.toBottomReGetMsg&&this.onReGetMsg()),this.scrollAction=e.target.scrollTop,this.scrollDirection=this.scrollTmp<=this.scrollAction?"down":"up",setTimeout(r=>this.scrollTmp=this.scrollAction,0),this.scrollIng++,setTimeout(r=>this.scrollIng--,100)},onRange(e){if(this.preventMoreLoad)return;const t=this.scrollDirection==="down"?"next_id":"prev_id";for(let n=e.start;n<=e.end;n++){const r=this.allMsgs[n][t];if(r){const a=this.allMsgs[n+(t==="next_id"?1:-1)];a&&a.id!=r&&(this.preventMoreLoad=!0,this.getMsgs({dialog_id:this.dialogId,msg_id:this.msgId,msg_type:this.msgType,[t]:r}).finally(l=>{this.preventMoreLoad=!1}))}}},onBack(){if(!this.beforeBack)return this.handleBack();const e=this.beforeBack();e&&e.then?e.then(()=>{this.handleBack()}):this.handleBack()},handleBack(){const{name:e,params:t}=this.$store.state.routeHistoryLast;e===this.$route.name&&/^\d+$/.test(t.dialogId)?this.goForward({name:this.$route.name}):this.goBack()},onMsgType(e){switch(e){case"project":this.openProject();break;case"task":this.openTask();break;case"okr":this.openOkr();break;default:this.loadMsg?$A.messageWarning("\u6B63\u5728\u52A0\u8F7D\uFF0C\u8BF7\u7A0D\u540E\u518D\u8BD5..."):this.msgType=e;break}},onMention(e){const t=this.cacheUserBasic.find(({userid:n})=>n==e.userid);t&&this.$refs.input.addMention({denotationChar:"@",id:t.userid,value:t.nickname})},onLongpress({event:e,el:t,msgData:n}){if(this.operateVisible=this.operateItem.id===n.id,this.operateItem=$A.isJson(n)?n:{},this.operateCopys=[],e.target.nodeName==="IMG"&&this.$Electron?this.operateCopys.push({type:"image",icon:"",label:"\u590D\u5236\u56FE\u7247",value:$A.thumbRestore(e.target.currentSrc)}):e.target.nodeName==="A"&&(e.target.classList.contains("mention")&&e.target.classList.contains("file")&&this.findOperateFile(this.operateItem.id,e.target.href),this.operateCopys.push({type:"link",icon:"",label:"\u590D\u5236\u94FE\u63A5",value:e.target.href})),n.type==="text"){e.target.nodeName==="IMG"&&this.operateCopys.push({type:"imagedown",icon:"",label:"\u4E0B\u8F7D\u56FE\u7247",value:$A.thumbRestore(e.target.currentSrc)});const r=this.getSelectedTextInElement(t);if(r.length>0&&this.operateCopys.push({type:"selected",icon:"",label:"\u590D\u5236\u9009\u62E9",value:r}),n.msg.text.replace(/<[^>]+>/g,"").length>0){let a=this.operateCopys.length>0?"\u590D\u5236\u6587\u672C":"\u590D\u5236";r.length>0&&(a="\u590D\u5236\u5168\u90E8"),this.operateCopys.push({type:"text",icon:"",label:a,value:""})}}this.$nextTick(()=>{const r=t.getBoundingClientRect(),a=this.$el.getBoundingClientRect();this.operateStyles={left:`${e.clientX-a.left}px`,top:`${r.top+this.windowScrollY}px`,height:r.height+"px"},this.operateClient={x:e.clientX,y:e.clientY},this.operateVisible=!0})},onOperate(e,t=null){this.operateVisible=!1,this.$nextTick(n=>{switch(e){case"reply":this.onReply();break;case"update":this.onUpdate();break;case"copy":this.onCopy(t);break;case"forward":this.forwardData=[],this.$refs.forwardSelect.onSelection();break;case"withdraw":this.onWithdraw();break;case"view":this.onViewFile();break;case"down":this.onDownFile();break;case"tag":this.onTag();break;case"newTask":let r=$A.formatMsgBasic(this.operateItem.msg.text);r=r.replace(/]*?src=(["'])(.*?)(_thumb\.jpg)*\1[^>]*?>/g,''),_i.Store.set("addTask",{owner:[this.userId],content:r});break;case"todo":this.onTodo();break;case"pos":this.onPositionId(this.operateItem.id);break;case"emoji":t==="more"?OJ().then(this.onEmoji):this.onEmoji(t);break}})},onReply(e){const{tail:t}=this.scrollInfo();this.setQuote(this.operateItem.id,e),this.inputFocus(),t<=55&&requestAnimationFrame(this.onToBottom)},onUpdate(){const{type:e}=this.operateItem;if(this.onReply(e==="text"?"update":"reply"),e==="text"){let{text:t,type:n}=this.operateItem.msg;this.$refs.input.setPasteMode(!1),n==="md"?this.$refs.input.setText(t):(t.indexOf("mention")>-1&&(t=t.replace(/
]*)>~([^>]*)<\/a>/g,'~$3'),t=t.replace(/([@#])([^>]*)<\/span>/g,'$3$4')),t=t.replace(/]*>/gi,r=>r.replace(/(width|height)="\d+"\s*/ig,"")),this.msgText=$A.formatMsgBasic(t)),this.$nextTick(r=>this.$refs.input.setPasteMode(!0))}},onCopy(e){if(!$A.isJson(e))return;const{type:t,value:n}=e;switch(t){case"image":this.$Electron&&this.getBase64Image(n).then(a=>{this.$Electron.sendMessage("copyBase64Image",{base64:a})});break;case"imagedown":this.$Electron?this.$Electron.sendMessage("saveImageAt",{params:{},url:n}):this.$store.dispatch("downUrl",{url:n,token:!1});break;case"filepos":this.$store.dispatch("filePos",n);break;case"link":this.$copyText(n).then(a=>$A.messageSuccess("\u590D\u5236\u6210\u529F")).catch(a=>$A.messageError("\u590D\u5236\u5931\u8D25"));break;case"selected":this.$copyText(n).then(a=>$A.messageSuccess("\u590D\u5236\u6210\u529F")).catch(a=>$A.messageError("\u590D\u5236\u5931\u8D25"));break;case"text":const r=$A(this.$refs.scroller.$el).find(`[data-id="${this.operateItem.id}"]`).find(".dialog-content");if(r.length>0){const a=r[0].innerText.replace(/\n\n/g,` `).replace(/(^\s*)|(\s*$)/g,"");this.$copyText(a).then(l=>$A.messageSuccess("\u590D\u5236\u6210\u529F")).catch(l=>$A.messageError("\u590D\u5236\u5931\u8D25"))}else $A.messageWarning("\u4E0D\u53EF\u590D\u5236\u7684\u5185\u5BB9");break}},onWithdraw(){$A.modalConfirm({content:"\u786E\u5B9A\u64A4\u56DE\u6B64\u4FE1\u606F\u5417\uFF1F",okText:"\u64A4\u56DE",loading:!0,onOk:()=>new Promise((e,t)=>{this.$store.dispatch("call",{url:"dialog/msg/withdraw",data:{msg_id:this.operateItem.id}}).then(()=>{e("\u6D88\u606F\u5DF2\u64A4\u56DE"),this.$store.dispatch("forgetDialogMsg",this.operateItem.id)}).catch(({msg:n})=>{t(n)})})})},onViewReply(e){this.operateVisible||this.onPositionId(e.reply_id,e.msg_id)},onViewText({target:e},t){if(this.operateVisible)return;let n=e;for(;n;){if(n.classList.contains("open-approve-details")){const r=n.getAttribute("data-id");window.innerWidth<426?this.goForward({name:"manage-approve-details",query:{id:n.getAttribute("data-id")}}):(this.approveDetailsShow=!0,this.$nextTick(()=>{this.approveDetails={id:r}}));break}if(n.classList.contains("dialog-item"))break;n=n.parentElement}switch(e.nodeName){case"IMG":if(e.classList.contains("browse"))this.onViewPicture(e.currentSrc);else{const r=$A.getTextImagesInfo(t.outerHTML),a=r.findIndex(l=>l.src==e.currentSrc);this.$store.dispatch("previewImage",{index:a,list:r})}break;case"SPAN":e.classList.contains("mention")&&e.classList.contains("task")&&this.$store.dispatch("openTask",$A.runNum(e.getAttribute("data-id"))),e.classList.contains("mention")&&e.classList.contains("okr")&&this.$store.dispatch("openOkr",$A.runNum(e.getAttribute("data-id")));break}},onViewFile(e){if(this.operateVisible)return;$A.isJson(e)||(e=this.operateItem);const{msg:t}=e;if(["jpg","jpeg","webp","gif","png"].includes(t.ext)){this.onViewPicture(t.path);return}const n=`/single/file/msg/${e.id}`;this.$Electron?this.$Electron.sendMessage("windowRouter",{name:`file-msg-${e.id}`,path:n,userAgent:"/hideenOfficeTitle/",force:!1,config:{title:`${t.name} (${$A.bytesToSize(t.size)})`,titleFixed:!0,parent:null,width:Math.min(window.screen.availWidth,1440),height:Math.min(window.screen.availHeight,900)},webPreferences:{nodeIntegrationInSubFrames:t.ext==="drawio"}}):this.$isEEUiApp?$A.eeuiAppOpenPage({pageType:"app",pageTitle:`${t.name} (${$A.bytesToSize(t.size)})`,url:"web.js",params:{titleFixed:!0,allowAccess:!0,url:$A.rightDelete(window.location.href,window.location.hash)+`#${n}`}}):window.open($A.apiUrl(`..${n}`))},onViewPicture(e){const t=this.allMsgs.filter(a=>a.type==="file"?["jpg","jpeg","webp","gif","png"].includes(a.msg.ext):a.type==="text"?a.msg.text.match(/]*?>/):!1),n=[];t.some(({type:a,msg:l})=>{a==="file"?n.push({src:l.path,width:l.width,height:l.height}):a==="text"&&n.push(...$A.getTextImagesInfo(l.text))});const r=n.findIndex(({src:a})=>a===e);r>-1?this.$store.dispatch("previewImage",{index:r,list:n}):this.$store.dispatch("previewImage",e)},onDownFile(e){this.operateVisible||($A.isJson(e)||(e=this.operateItem),$A.modalConfirm({title:"\u4E0B\u8F7D\u6587\u4EF6",content:`${e.msg.name} (${$A.bytesToSize(e.msg.size)})`,okText:"\u7ACB\u5373\u4E0B\u8F7D",onOk:()=>{this.$store.dispatch("downUrl",$A.apiUrl(`dialog/msg/download?msg_id=${e.id}`))}}))},onReplyList(e){this.operateVisible||(this.replyListId=e.msg_id,this.replyListShow=!0)},onError(e){if(e.error!==!0)return;const{type:t,mType:n,content:r,msg:a}=e.errorData,l={icon:"error",title:"\u53D1\u9001\u5931\u8D25",content:r,cancelText:"\u53D6\u6D88\u53D1\u9001",onCancel:u=>{this.tempMsgs=this.tempMsgs.filter(({id:c})=>c!=e.id)}};if(t==="text")l.okText="\u91CD\u65B0\u53D1\u9001",l.onOk=()=>{this.tempMsgs=this.tempMsgs.filter(({id:u})=>u!=e.id),this.sendMsg(a,n)};else if(t==="record")l.okText="\u91CD\u65B0\u53D1\u9001",l.onOk=()=>{this.tempMsgs=this.tempMsgs.filter(({id:u})=>u!=e.id),this.sendRecord(a)};else return;$A.modalConfirm(l)},onEmoji(e){$A.isJson(e)||(e={msg_id:this.operateItem.id,symbol:e});const t=this.cacheEmojis.filter(n=>n!==e.symbol);t.unshift(e.symbol),$A.IDBSave("cacheEmojis",this.$store.state.cacheEmojis=t.slice(0,3)),this.$store.dispatch("setLoad",{key:`msg-${e.msg_id}`,delay:600}),this.$store.dispatch("call",{url:"dialog/msg/emoji",data:e}).then(({data:n})=>{this.dialogMsgs.findIndex(a=>a.id==n.id)>-1?this.$store.dispatch("saveDialogMsg",n):this.todoViewData.id===n.id&&(this.todoViewData=Object.assign(this.todoViewData,n))}).catch(({msg:n})=>{$A.messageError(n)}).finally(n=>{this.$store.dispatch("cancelLoad",`msg-${e.msg_id}`)})},onShowEmojiUser(e){this.operateVisible||(this.respondData=e,this.respondShow=!0)},onTag(){if(this.operateVisible)return;const e={msg_id:this.operateItem.id};this.$store.dispatch("setLoad",{key:`msg-${e.msg_id}`,delay:600}),this.$store.dispatch("call",{url:"dialog/msg/tag",data:e}).then(({data:t})=>{this.tagOrTodoSuccess(t)}).catch(({msg:t})=>{$A.messageError(t)}).finally(t=>{this.$store.dispatch("cancelLoad",`msg-${e.msg_id}`)})},onTypeChange(e){e==="user"&&(this.todoSettingData.userids.length===0&&this.todoSettingData.quick_value.length>0&&(this.todoSettingData.userids=this.todoSettingData.quick_value),this.$nextTick(t=>{this.$refs.userSelect.onSelection()})),e!=="quick_select"&&(this.todoSettingData.quick_value=[])},onQuickChange(e){this.todoSettingData.type=e.length===0?"all":"quick_select"},onTodo(e){var t;if(!this.operateVisible)if(e==="submit"){const n=$A.cloneJSON(this.todoSettingData);if(n.type==="quick_select")n.type="user",n.userids=n.quick_value;else if(n.type==="user"&&$A.arrayLength(n.userids)===0){$A.messageWarning("\u9009\u62E9\u6307\u5B9A\u6210\u5458");return}this.todoSettingLoad++,this.onTodoSubmit(n).then(r=>{$A.messageSuccess(r),this.todoSettingShow=!1}).catch(r=>{$A.messageError(r)}).finally(r=>{this.todoSettingLoad--})}else{const n={};n[this.userId]=this.userId;const r=(t=this.dialogData.dialog_user)==null?void 0:t.userid;if(r&&r!=this.userId&&!this.dialogData.bot&&(n[r]=r),this.operateItem.type==="text"){const a=/([^<]+)<\/span>/g,l=this.operateItem.msg.text.match(a);l&&l.forEach(u=>{const c=parseInt(u.replace(a,"$1"));c&&c!=this.userId&&(n[c]=c)})}this.todoSettingData={type:"all",userids:[],msg_id:this.operateItem.id,quick_value:[],quick_list:Object.values(n)},this.operateItem.todo?$A.modalConfirm({content:"\u4F60\u786E\u5B9A\u53D6\u6D88\u5F85\u529E\u5417\uFF1F",cancelText:"\u53D6\u6D88",okText:"\u786E\u5B9A",loading:!0,onOk:()=>this.onTodoSubmit(this.todoSettingData)}):this.todoSettingShow=!0}},onTodoSubmit(e){return new Promise((t,n)=>{this.$store.dispatch("setLoad",{key:`msg-${e.msg_id}`,delay:600}),this.$store.dispatch("call",{url:"dialog/msg/todo",data:e}).then(({data:r,msg:a})=>{t(a),this.tagOrTodoSuccess(r),this.onActive()}).catch(({msg:r})=>{n(r)}).finally(r=>{this.$store.dispatch("cancelLoad",`msg-${e.msg_id}`)})})},tagOrTodoSuccess(e){this.$store.dispatch("saveDialogMsg",e.update),e.add&&(this.$store.dispatch("saveDialogMsg",e.add),this.$store.dispatch("updateDialogLastMsg",e.add))},onSearchSwitch(e){if(this.searchResult.length!==0){if(this.searchLocation===1&&this.searchResult.length===1){this.onPositionId(this.searchResult[0]);return}e==="prev"?this.searchLocation<=1?this.searchLocation=this.searchResult.length:this.searchLocation--:this.searchLocation>=this.searchResult.length?this.searchLocation=1:this.searchLocation++}},onSearchKeyup(e){(e===null||e.keyCode===27)&&(this.searchShow=!1,this.searchKey="",this.searchResult=[])},onPositionMark(){if(this.positionLoad>0)return;this.positionLoad++;const e=[];this.dialogData.position_msgs.forEach(n=>{var r;(r=this.allMsgs.find(({id:a})=>a==n.msg_id))!=null&&r.read_at||e.push(n)}),this.$store.dispatch("saveDialog",{id:this.dialogData.id,position_msgs:e});const{msg_id:t}=this.positionMsg;this.$store.dispatch("dialogMsgMark",{dialog_id:this.dialogId,type:"read",after_msg_id:t}).then(n=>{this.positionLoad++,this.onPositionId(t).finally(r=>{this.positionLoad--})}).catch(({msg:n})=>{$A.modalError(n)}).finally(n=>{this.positionLoad--})},findOperateFile(e,t){const n=this.fileLinks.find(r=>r.link===t);if(n){this.addFileMenu(e,n);return}this.$store.dispatch("searchFiles",{link:t}).then(({data:r})=>{if(r.length===1){const a={link:t,id:r[0].id,pid:r[0].pid};this.fileLinks.push(a),this.addFileMenu(e,a)}}).catch(r=>{})},addFileMenu(e,t){if(this.operateItem.id!=e||this.operateCopys.findIndex(r=>r.type==="filepos")!==-1)return;const n=Math.max(0,this.operateCopys.findIndex(r=>r.type==="link")-1);this.operateCopys.splice(n,0,{type:"filepos",icon:"",label:"\u663E\u793A\u6587\u4EF6",value:{folderId:t.pid,fileId:null,shakeId:t.id}})},getBase64Image(e){return new Promise(t=>{let n=document.createElement("CANVAS"),r=n.getContext("2d"),a=new Image;a.crossOrigin="Anonymous",a.onload=()=>{n.height=a.height,n.width=a.width,r.drawImage(a,0,0);let l="png";$A.rightExists(e,"jpg")||$A.rightExists(e,"jpeg")?l="jpeg":$A.rightExists(e,"webp")?l="webp":$A.rightExists(e,"git")&&(l="git"),t(n.toDataURL(`image/${l}`)),n=null},a.src=e})},getSelectedTextInElement(e){let t="";if(window.getSelection){let n=window.getSelection();if(n.rangeCount>0){const r=n.getRangeAt(0);e.contains(r.commonAncestorContainer)&&(t=r.toString())}}return t},onViewAvatar(e){let t=null;e.target.tagName==="IMG"?t=e.target.src:t=$A(e.target).find("img").attr("src"),t&&this.$store.dispatch("previewImage",t)},getUserApproveStatus(){this.approvaUserStatus="",!(this.dialogData.type!=="user"||this.dialogData.bot)&&this.$store.dispatch("call",{url:"approve/user/status",data:{userid:this.dialogData.dialog_user.userid}}).then(({data:e})=>{this.approvaUserStatus=e}).catch(({msg:e})=>{$A.messageError(e)})}}},yl={};var DJ=Kt(IJ,NJ,AJ,!1,xJ,null,null,null);function xJ(e){for(let t in yl)this[t]=yl[t]}var UJ=function(){return DJ.exports}();export{CJ as C,UJ as D};